next up previous contents
Next: List of Publications Up: Dissertation Otmar Ertl Previous: C. Inequalities

Bibliography

1
S. Abdollahi-Alibeik, J. P. McVittie, K. C. Saraswat, V. Sukharev, and P. Schoenborn.
Analytical modeling of silicon etch process in high density plasma.
Journal of Vacuum Science and Technology A, 17(5):2485-2491, 1999.

2
D. Abrahams and A. Gurtovoy.
C++ Template Metaprogramming: Concepts, Tools, and Techniques from Boost and Beyond (C++ in Depth Series).
Addison-Wesley Professional, 2004.

3
D. Adalsteinsson and J. A. Sethian.
A fast level set method for propagating interfaces.
Journal of Computational Physics, 118(2):269-277, 1995.

4
D. Adalsteinsson and J. A. Sethian.
A level set approach to a unified model for etching, deposition, and lithography I: Algorithms and two-dimensional simulations.
Journal of Computational Physics, 120(1):128-144, 1995.

5
D. Adalsteinsson and J. A. Sethian.
A level set approach to a unified model for etching, deposition, and lithography II: Three-dimensional simulations.
Journal of Computational Physics, 122(2):348-366, 1995.

6
D. Adalsteinsson and J. A. Sethian.
A level set approach to a unified model for etching, deposition, and lithography III: Redeposition, reemission, surface diffusion, and complex simulations.
Journal of Computational Physics, 138(1):193-223, 1997.

7
D. Adalsteinsson and J. A. Sethian.
The fast construction of extension velocities in level set methods.
Journal of Computational Physics, 148(1):2-22, 1999.

8
H. A. Al-Mohssen and N. G. Hadjiconstantinou.
Arbitrary-pressure chemical vapor deposition modeling using direct simulation Monte Carlo with nonlinear surface chemistry.
Journal of Computational Physics, 198(2):617-627, 2004.

9
G. M. Amdahl.
Validity of the single processor approach to achieving large scale computing capabilities.
In Proceedings of the AFIPS Spring Joint Computer Conference, pages 483-485, 1967.

10
J. Arvo and D. Kirk.
A survey of ray tracing acceleration techniques.
In An Introduction to Ray Tracing, pages 201-262. Academic Press, 1989.

11
S. P. Awate and R. T. Whitaker.
An interactive parallel multiprocessor level-set solver with dynamic load balancing.
Technical Report UUCS-05-002, School of Computing, University of Utah, 2005.

12
E. Bär and J. Lorenz.
3-d simulation of LPCVD using segment-based topography discretization.
IEEE Transactions on Semiconductor Manufacturing, 9(1):67-73, 1996.

13
F. H. Baumann, D. L. Chopp, T. D. de la Rubia, G. H. Gilmer, J. E. Greene, H. Huang, S. Kodambaka, P. O'Sullivan, and I. Petrov.
Multiscale modeling of thin-film deposition: Applications to Si device processing.
MRS Bulletin, 26(3):182-189, 2001.

14
R. J. Belen, S. Gomez, D. Cooperberg, M. Kiehlbauch, and E. S. Aydil.
Feature-scale model of Si etching in SF$ _6$ /O$ _2$ plasma and comparison with experiments.
Journal of Vacuum Science and Technology A, 23(5):1430-1439, 2005.

15
R. J. Belen, S. Gomez, M. Kiehlbauch, D. Cooperberg, and E. S. Aydil.
Feature-scale model of Si etching in SF$ _6$ plasma and comparison with experiments.
Journal of Vacuum Science and Technology A, 23(1):99-113, 2005.

16
M. O. Bloomfield and T. S. Cale.
Formation and evolution of grain structures in thin films.
Microelectronic Engineering, 76(1-4):195-204, 2004.

17
M. O. Bloomfield, D. F. Richards, and T. S. Cale.
A computational framework for modelling grain-structure evolution in three dimensions.
Philosophical Magazine, 83(31):3549-3568, 2003.

18
R. Bridson, J. Teran, N. Molino, and R. Fedkiw.
Adaptive physics based tetrahedral mesh generation using level sets.
Engineering with Computers, 21(1):2-18, 2005.

19
T. S. Cale, M. O. Bloomfield, and M. K. Gobbert.
Two deterministic approaches to topography evolution.
Surface and Coatings Technology, 201(22-23):8873-8877, 2007.

20
T. S. Cale, T. P. Merchant, L. J. Borucki, and A. H. Labun.
Topography simulation for the virtual wafer fab.
Thin Solid Films, 365(2):152-175, 2000.

21
T. S. Cale and G. B. Raupp.
A unified line-of-sight model of deposition in rectangular trenches.
Journal of Vacuum Science and Technology B, 8(6):1242-1248, 1990.

22
S. A. Campbell.
The Science and Engineering of Microelectronic Fabrication.
Oxford University Press, 2nd edition, 2001.

23
B. Chapman, G. Jost, and R. van der Pas.
Using OpenMP.
MIT Press, 2008.

24
R. Courant, K. Friedrichs, and H. Lewy.
Über die partiellen Differenzengleichungen der mathematischen Physik.
Mathematische Annalen, 100(1):32-74, 1928.

25
L. Devroye.
Non-Uniform Random Variate Generation.
Springer, 1986.

26
F. Dill, A. Neureuther, J. Tuttle, and E. Walker.
Modeling projection printing of positive photoresists.
IEEE Transactions on Electron Devices, 22(7):456-464, 1975.

27
E. A. Edelberg and E. S. Aydil.
Modeling of the sheath and the energy distribution of ions bombarding RF-biased substrates in high density plasma reactors and comparison to experimental measurements.
Journal of Applied Physics, 86(9):4799-4812, 1999.

28
B. Engquist and S. Osher.
Stable and entropy satisfying approximations for transonic flow calculations.
Mathematics of Computation, 34(149):45-75, 1980.

29
M. Fujinaga and N. Kotani.
3-d topography simulator (3-D MULSS) based on a physical description of material topography.
IEEE Transactions on Electron Devices, 44(2):226-238, 1997.

30
K. P. Giapis, G. S. Hwang, and O. Joubert.
The role of mask charging in profile evolution and gate oxide degradation.
Microelectronic Engineering, 61-62:835-847, 2002.

31
J. Glimm, S. R. Simanca, D. Tan, F. M. Tangerman, and G. Vanderwoude.
Front tracking simulations of ion deposition and resputtering.
SIAM Journal on Scientific Computing, 20(5):1905-1920, 1999.

32
M. K. Gobbert, T. P. Merchant, L. J. Borucki, and T. S. Cale.
A multiscale simulator for low pressure chemical vapor deposition.
Journal of the Electrochemical Society, 144(11):3945-3951, 1997.

33
M. A. Gosalvez, Y. Xing, K. Sato, and R. M. Nieminen.
Atomistic methods for the simulation of evolving surfaces.
Journal of Micromechanics and Microengineering, 18(5):055029, 2008.

34
R. A. Gottscho.
Ion transport anisotropy in low pressure, high density plasmas.
Journal of Vacuum Science and Technology B, 11(5):1884-1889, 1993.

35
R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage.
Microscopic uniformity in plasma etching.
Journal of Vacuum Science and Technology B, 10(5):2133-2147, 1992.

36
B. Gough.
GNU Scientific Library Reference Manual.
Network Theory Ltd., 3rd edition, 2009.

37
J. Greenwood.
The correct and incorrect generation of a cosine distribution of scattered particles for Monte-Carlo modelling of vacuum systems.
Vacuum, 67(2):217-222, 2002.

38
S. Hamaguchi, M. Dalvie, R. T. Farouki, and S. Sethuraman.
A shock-tracking algorithm for surface evolution under reactive-ion etching.
Journal of Applied Physics, 74(8):5172-5184, 1993.

39
V. Havran.
Heuristic Ray Shooting Algorithms.
PhD thesis, Department of Computer Science and Engineering, Faculty of Electrical Engineering, Czech Technical University in Prague, 2000.

40
C. Heitzinger.
Simulation and Inverse Modeling of Semiconductor Manufacturing Processes.
Dissertation, Fakultät für Elektrotechnik und Informationstechnik, Technische Universität Wien, 2002.

41
C. Heitzinger, A. Sheikholeslami, F. Badrieh, H. Puchner, and S. Selberherr.
Feature-scale process simulation and accurate capacitance extraction for the backend of a 100-nm aluminum/TEOS process.
IEEE Transactions on Electron Devices, 51(7):1129-1134, 2004.

42
Y. Hirai, S. Tomida, K. Ikeda, M. Sasago, M. Endo, S. Hayama, and N. Nomura.
Three-dimensional resist process simulator PEACE (photo and electron beam lithography analyzing computer engineering system).
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 10(6):802-807, 1991.

43
A. Hössinger, Z. Djuri\simplemathrm{\'{c\/}}, and A. Babayan.
Modeling of deep reactive ion etching in a three-dimensional simulation environment.
In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pages 53-56, 2007.

44
B. Houston, M. B. Nielsen, C. Batty, O. Nilsson, and K. Museth.
Hierarchical RLE level set: A compact and versatile deformable surface representation.
ACM Transactions on Graphics, 25(1):151-175, 2006.

45
B. Houston, M. Wiebe, and C. Batty.
RLE sparse level sets.
In Proceedings of the ACM SIGGRAPH Conference on Sketches and Applications, page 137, 2004.

46
Z.-K. Hsiau, E. Kan, J. McVittie, and R. Dutton.
Robust, stable, and accurate boundary movement for physical etching and deposition simulation.
IEEE Transactions on Electron Devices, 44(9):1375-1385, 1997.

47
H. Huang, G. H. Gilmer, and T. D. de la Rubia.
An atomistic simulator for thin film deposition in three dimensions.
Journal of Applied Physics, 84(7):3636-3649, 1998.

48
G. S. Hwang and K. P. Giapis.
On the origin of the notching effect during etching in uniform high density plasmas.
Journal of Vacuum Science and Technology B, 15(1):70-87, 1997.

49
IEEE Computer Society Standards Committee.
IEEE Standard for Binary Floating-Point Arithmetic.
ANSI/IEEE Std 754-1985. IEEE Computer Society Press, 1985.

50
Y. H. Im, M. Bloomfield, C. Sukam, J. Tichy, T. Cale, and J. Seok.
Integrated multiscale multistep process simulation.
In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pages 307-310, 2003.

51
M. M. IslamRaja, C. Chang, J. P. McVittie, M. A. Cappelli, and K. C. Saraswat.
Two precursor model for low-pressure chemical vapor deposition of silicon dioxide from tetraethylorthosilicate.
Journal of Vacuum Science and Technology B, 11(3):720-726, 1993.

52
R. E. Jewett, P. I. Hagouel, A. R. Neureuther, and T. van Duzer.
Line-profile resist development simulation techniques.
Polymer Engineering and Science, 17(6):381-384, 1977.

53
M. Jones, J. Baerentzen, and M. Sramek.
3d distance fields: A survey of techniques and applications.
IEEE Transactions on Visualization and Computer Graphics, 12(4):581-599, 2006.

54
I. Karafyllidis and A. Thanailakis.
Simulation of two-dimensional photoresist etching process in integrated circuit fabrication using cellular automata.
Modelling and Simulation in Materials Science and Engineering, 3(5):629-642, 1995.

55
I. V. Katardjiev, G. Carter, M. J. Nobes, S. Berg, and H.-O. Blom.
Three-dimensional simulation of surface evolution during growth and erosion.
Journal of Vacuum Science and Technology A, 12(1):61-68, 1994.

56
E. Kawamura, V. Vahedi, M. A. Lieberman, and C. K. Birdsall.
Ion energy distributions in RF sheaths; review, analysis and simulation.
Plasma Sources Science and Technology, 8(3):R45-R64, 1999.

57
H.-B. Kim, G. Hobler, A. Steiger, A. Lugstein, and E. Bertagnolli.
Full three-dimensional simulation of focused ion beam micro/nanofabrication.
Nanotechnology, 18(24):245303, 2007.

58
H.-B. Kim, G. Hobler, A. Steiger, A. Lugstein, and E. Bertagnolli.
Level set approach for the simulation of focused ion beam processing on the micro/nano scale.
Nanotechnology, 18(26):265307, 2007.

59
D. Kimpton, M. Baida, V. Zhuk, M. Temkin, and I. Chakarov.
Multiple type grid approach for 3d process simulation.
In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pages 369-372, 2006.

60
S. Kistler, E. Bär, J. Lorenz, and H. Ryssel.
Three-dimensional simulation of ionized metal plasma vapor deposition.
Microelectronic Engineering, 76(1-4):100-105, 2004.

61
R. E. Knop.
Algorithm 381: Random vectors uniform in solid angle.
Communications of the ACM, 13(5):326, 1970.

62
G. Kokkoris, A. G. Boudouvis, and E. Gogolides.
Integrated framework for the flux calculation of neutral species inside trenches and holes during plasma etching.
Journal of Vacuum Science and Technology A, 24(6):2008-2020, 2006.

63
G. Kokkoris, A. Tserepi, A. G. Boudouvis, and E. Gogolides.
Simulation of SiO$ _2$ and Si feature etching for microelectronics and microelectromechanical systems fabrication: A combined simulator coupling modules of surface etching, local flux calculation, and profile evolution.
Journal of Vacuum Science and Technology A, 22(4):1896-1902, 2004.

64
D. Kunder and E. Bär.
Comparison of different methods for simulating the effect of specular ion reflection on microtrenching during dry etching of polysilicon.
Microelectronic Engineering, 85(5-6):992-995, 2008.

65
O. Kwon, H. Jung, Y. t. Kim, I. Yoon, and T. Won.
Level-set modeling of sputter deposition.
Journal of the Korean Physical Society, 40(1):72-76, 2002.

66
E. P. Lafortune and Y. D. Willems.
Using the modified Phong reflectance model for physically based rendering.
Report CW 197, Department of Computing Science, Katholieke Universiteit Leuven, 1994.

67
F. Lärmer and A. Schilp.
Patent numbers DE4241045 (Germany, issued 5 December 1992), US5,501,893 (U.S., issued 26 March 1996).

68
H. Liao and T. S. Cale.
Three-dimensional simulation of an isolation trench refill process.
Thin Solid Films, 236(1-2):352-358, 1993.

69
C.-C. Lin and Y.-T. Ching.
An efficient volume-rendering algorithm with an analytic approach.
The Visual Computer, 12(10):515-526, 1996.

70
W. E. Lorensen and H. E. Cline.
Marching cubes: A high resolution 3d surface construction algorithm.
ACM SIGGRAPH Computer Graphics, 21(4):163-169, 1987.

71
F. Losasso, F. Gibou, and R. Fedkiw.
Simulating water and smoke with an octree data structure.
ACM Transactions on Graphics, 23(3):457-462, 2004.

72
J. D. MacDonald and K. S. Booth.
Heuristics for ray tracing using space subdivision.
The Visual Computer, 6(3):153-166, 1990.

73
R. Malladi, J. Sethian, and B. Vemuri.
Shape modeling with front propagation: A level set approach.
IEEE Transactions on Pattern Analysis and Machine Intelligence, 17(2):158-175, 1995.

74
G. Marmitt, A. Kleer, I. Wald, H. Friedrich, and P. Slusallek.
Fast and accurate ray-voxel intersection techniques for iso-surface ray tracing.
In Proceedings of the International Fall Workshop on Vision, Modeling, and Visualization (VMV), pages 429-435, 2004.

75
G. Marsaglia.
Choosing a point from the surface of a sphere.
Annals of Mathematical Statistics, 43(2):645-646, 1972.

76
N. Matsunami, Y. Yamamura, Y. Itikawa, N. Itoh, Y. Kazumata, S. Miyagawa, K. Morita, R. Shimizu, and H. Tawara.
Energy dependence of the ion-induced sputtering yields of monatomic solids.
Atomic Data and Nuclear Data Tables, 31(1):1-80, 1984.

77
S. Mauch.
A fast algorithm for computing the closest point and distance transform.
Caltech ASCI Technical Report 077, California Institute of Technology, 2000.

78
G. Mazaleyrat, A. Estève, L. Jeloaica, and M. Djafari-Rouhani.
A methodology for the kinetic Monte Carlo simulation of alumina atomic layer deposition onto silicon.
Computational Materials Science, 33(1-3):74-82, 2005.

79
S. Mazumder.
Methods to accelerate ray tracing in the Monte Carlo method for surface-to-surface radiation transport.
Journal of Heat Transfer, 128(9):945-952, 2006.

80
T. P. Merchant, M. K. Gobbert, T. S. Cale, and L. J. Borucki.
Multiple scale integrated modeling of deposition processes.
Thin Solid Films, 365(2):368-375, 2000.

81
R. Milne.
An adaptive level set method.
PhD thesis, Lawrence Berkeley National Laboratory, University of California, 1995.

82
N. Molino, R. Bridson, J. Teran, and R. Fedkiw.
A crystalline, red green strategy for meshing highly deformable objects with tetrahedra.
In Proceedings of the International Meshing Roundtable, pages 103-114, 2003.

83
G. E. Moore.
Cramming more components onto integrated circuits.
Electronics, 38(8):114-117, 1965.

84
M. Nielsen and K. Museth.
Dynamic tubular grid: An efficient data structure and algorithms for high resolution level sets.
Journal of Scientific Computing, 26(3):261-299, 2006.

85
S. Osher and R. Fedkiw.
Level Set Methods and Dynamic Implicit Surfaces.
Springer, 2003.

86
S. Osher and J. A. Sethian.
Fronts propagating with curvature-dependent speed: Algorithms based on Hamilton-Jacobi formulations.
Journal of Computational Physics, 79(1):12-49, 1988.

87
S. Osher and C.-W. Shu.
High-order essentially nonoscillatory schemes for Hamilton-Jacobi equations.
SIAM Journal on Numerical Analysis, 28(4):907-922, 1991.

88
S. Parker, P. Shirley, Y. Livnat, C. Hansen, and P.-P. Sloan.
Interactive ray tracing for isosurface rendering.
In Proceedings of the IEEE Visualization Conference (VIS), pages 233-238, 1998.

89
A. Pasko, V. Adzhiev, A. Sourin, and V. Savchenko.
Function representation in geometric modeling: Concepts, implementation and applications.
The Visual Computer, 11(8):429-446, 1995.

90
B. T. Phong.
Illumination for computer generated pictures.
Communications of the ACM, 18(6):311-317, 1975.

91
J. D. Plummer, M. D. Deal, and P. B. Griffin.
Silicon VLSI Technology.
Prentice Hall Press, 2000.

92
W. H. Press.
Numerical Recipes: The Art of Scientific Computing.
Cambridge University Press, 3rd edition, 2007.

93
W. Pyka.
Feature Scale Modeling for Etching and Deposition Processes in Semiconductor Manufacturing.
Dissertation, Fakultät für Elektrotechnik, Technische Universität Wien, 2000.

94
B. Radjenovi\simplemathrm{\'{c\/}}, S. J. Kim, and J. K. Lee.
3d etching profile evolution simulation using sparse field level set method.
In Proceedings of the International Congress on Plasma Physics (ICPP), 2004.

95
B. Radjenovi\simplemathrm{\'{c\/}} and J. K. Lee.
3d feature profile simulation for SiO$ _2$ etching in fluorocarbon plasma.
In Proceedings of the International Conference on Phenomena in Ionized Gases (ICPIG), 17-142, 2005.

96
B. Radjenovi\simplemathrm{\'{c\/}} and M. Radmilovi\simplemathrm{\'{c\/}}-Radjenovi\simplemathrm{\'{c\/}}.
3d simulations of the profile evolution during anisotropic wet etching of silicon.
Thin Solid Films, 517(14):4233-4237, 2009.

97
B. Radjenovi\simplemathrm{\'{c\/}}, M. Radmilovi\simplemathrm{\'{c\/}}-Radjenovi\simplemathrm{\'{c\/}}, and P. Beli\simplemathrm{\v{c\/}}\kern.05emev.
3d simulations with fields and particles.
WSEAS Transactions on Information Science and Applications, 3(5):869-877, 2006.

98
B. Radjenovi\simplemathrm{\'{c\/}}, M. Radmilovi\simplemathrm{\'{c\/}}-Radjenovi\simplemathrm{\'{c\/}}, and M. Mitric.
Nonconvex Hamiltonians in three dimensional level set simulations of the wet etching of silicon.
Applied Physics Letters, 89(21):213102, 2006.

99
S. Reyntjens and R. Puers.
A review of focused ion beam applications in microsystem technology.
Journal of Micromechanics and Microengineering, 11(4):287-300, 2001.

100
F. Ris, E. Barkmeyer, C. Schaffert, and P. Farkas.
When floating-point addition isn't commutative.
ACM SIGNUM Newsletter, 28(1):8-13, 1993.

101
W. Rudin.
Real and Complex Analysis.
McGraw-Hill, 3rd edition, 1987.

102
L. Santaló.
Integral Geometry and Geoemtric Probability.
Cambridge University Press, 2nd edition, 2004.

103
K. Sato, M. Shikida, Y. Matsushima, T. Yamashiro, K. Asaumi, Y. Iriye, and M. Yamamoto.
Characterization of orientation-dependent etching properties of single-crystal silicon: effects of KOH concentration.
Sensors and Actuators A: Physical, 64(1):87-93, 1998.

104
J. Saussac, J. Margot, and M. Chaker.
Profile evolution simulator for sputtering and ion-enhanced chemical etching.
Journal of Vacuum Science and Technology A, 27(1):130-138, 2009.

105
E. Scheckler and A. Neureuther.
Models and algorithms for three-dimensional topography simulation with SAMPLE-3D.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13(2):219-230, 1994.

106
E. Scheckler, N. Tam, A. Pfau, and A. Neureuther.
An efficient volume-removal algorithm for practical three-dimensional lithography simulation with experimental verification.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 12(9):1345-1356, 1993.

107
G. F. Schrack.
Remark on algorithm 381.
Communications of the ACM, 15(6):468, 1972.

108
J. Sethian and D. Adalsteinsson.
An overview of level set methods for etching, deposition, and lithography development.
IEEE Transactions on Semiconductor Manufacturing, 10(1):167-184, 1997.

109
J. A. Sethian.
A fast marching level set method for monotonically advancing fronts.
In Proceedings of the National Academy of Sciences, pages 1591-1595, 1996.

110
J. A. Sethian.
Level Set Methods and Fast Marching Methods.
Cambridge University Press, 1999.

111
A. Sheikholeslami.
Topography Simulation of Deposition and Etching Processes.
Dissertation, Fakultät für Elektrotechnik und Informationstechnik, Technische Universität Wien, 2006.

112
T. Shimada, T. Yagisawa, and T. Makabe.
Modeling of feature profile evolution in SiO$ _{2}$ as functions of radial position and bias voltage under competition among charging, deposition, and etching in two-frequency capacitively coupled plasma.
Japanese Journal of Applied Physics, 45(11):8876-8882, 2006.

113
T. Shimada, T. Yagisawa, and T. Makabe.
Self-consistent modeling of feature profile evolution in plasma etching and deposition.
Japanese Journal of Applied Physics, 45(5):L132-L134, 2006.

114
P. Shirley, M. Ashikhmin, M. Gleicher, S. Marschner, E. Reinhard, K. Sung, W. Thompson, and P. Willemsen.
Fundamentals of Computer Graphics.
AK Peters, Ltd., 2nd edition, 2005.

115
P. Shirley, K. Sung, and W. Brown.
A ray tracing framework for global illumination systems.
In Proceedings of the Graphics Interface Conference, pages 117-128, 1991.

116
A. Shumilov and I. Amirov.
Modeling of deep grooving of silicon in the process of plasmochemical cyclic etching/passivation.
Russian Microelectronics, 36(4):241-250, 2007.

117
J. Siek, L.-Q. Lee, and A. Lumsdaine.
The Boost Graph Library: User Guide and Reference Manual.
Addison-Wesley Professional, 2002.

118
T. Smy, S. K. Dew, and R. V. Joshi.
Efficient modeling of thin film deposition for low sticking using a three-dimensional microstructural simulator.
Journal of Vacuum Science and Technology A, 19(1):251-261, 2001.

119
J. Sreevalsan-Nair, L. Linsen, and B. Hamann.
Topologically accurate dual isosurfacing using ray intersection.
Journal of Virtual Reality and Broadcasting, 4(4), 2007.

120
C. Steinbruchel.
Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy.
Applied Physics Letters, 55(19):1960-1962, 1989.

121
J. Strain.
Tree methods for moving interfaces.
Journal of Computational Physics, 151(2):616-648, 1999.

122
E. Strasser.
Simulation von Topographieprozessen in der Halbleiterfertigung.
Dissertation, Fakultät für Elektrotechnik, Technische Universität Wien, 1994.

123
E. Strasser and S. Selberherr.
Algorithms and models for cellular based topography simulation.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 14(9):1104-1114, 1995.

124
B. Stroustrup.
The C++ Programming Language.
Addison-Wesley, 3rd edition, 2000.

125
G. Sun, X. Zhao, H. Zhang, L. Wang, and G. Lu.
3-d simulation of Bosch process with voxel-based method.
In Proceedings of the IEEE International Conference on Nano/Micro Engineered and Molecular Systems (IEEE-NEMS), pages 45-49, 2007.

126
V. Suvorov, A. Hössinger, Z. Djuri\simplemathrm{\'{c\/}}, and N. Ljepojevic.
A novel approach to three-dimensional semiconductor process simulation: Application to thermal oxidation.
Journal of Computational Electronics, 5(4):291-295, 2006.

127
Synopsys.
Sentaurus Topography (Advanced topography simulator).
http://www.synopsys.com/tools/tcad/capsulemodule/sentaurus_topo_ds.pdf, 2006.

128
Y. Tan, R. Zhou, H. Zhang, G. Lu, and Z. Li.
Modeling and simulation of the lag effect in a deep reactive ion etching process.
Journal of Micromechanics and Microengineering, 16(12):2570-2575, 2006.

129
J. Teran, N. Molino, R. Fedkiw, and R. Bridson.
Adaptive physics based tetrahedral mesh generation using level sets.
Engineering with Computers, 21(1):2-18, 2005.

130
C. Terboven, D. an Mey, and S. Sarholz.
OpenMP on multicore architectures.
In A Practical Programming Model for the Multi-Core Era, pages 54-64. Springer, 2008.

131
K. Toh, A. Neureuther, and E. Scheckler.
Algorithms for simulation of three-dimensional etching.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13(5):616-624, 1994.

132
A. A. Tseng.
Recent developments in micromilling using focused ion beam technology.
Journal of Micromechanics and Microengineering, 14(4):R15-R34, 2004.

133
I. Utke, P. Hoffmann, and J. Melngailis.
Gas-assisted focused electron beam and ion beam processing and fabrication.
Journal of Vacuum Science and Technology B, 26(4):1197-1276, 2008.

134
I. Wald and V. Havran.
On building fast kd-trees for ray tracing, and on doing that in O(N log N).
In Proceedings of the IEEE Symposium on Interactive Ray Tracing, pages 61-69, 2006.

135
R. T. Whitaker.
A level-set approach to 3d reconstruction from range data.
International Journal of Computer Vision, 29(3):203-231, 1998.

136
Y. Yamamura, Itakawa, and N. Y., Itoh.
Angular dependence of sputtering yields of monatomic solids.
Technical Report IPPJ-AM26, Institute of Plasma Physics, Nagoya University, 1983.

137
R. Zhou, H. Zhang, Y. Hao, and Y. Wang.
Simulation of the Bosch process with a string-cell hybrid method.
Journal of Micromechanics and Microengineering, 14(7):851-858, 2004.

138
R. Zhou, H. Zhang, Y. Hao, D. Zhang, and Y. Wang.
Simulation of profile evolution in etching-polymerization alternation in DRIE of silicon with SF$ _6$ /C$ _4$ F$ _8$ .
In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS), pages 161-164, 2003.

139
Z. F. Zhou, Q. A. Huang, W. H. Li, and W. Lu.
A novel 3-d dynamic cellular automata model for photoresist-etching process simulation.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(1):100-114, 2007.


next up previous contents
Next: List of Publications Up: Dissertation Otmar Ertl Previous: C. Inequalities

Otmar Ertl: Numerical Methods for Topography Simulation