List of Symbols

Level Set Framework

$F$ Flux on surface $\mathcal{S}$
$F^{src}$ Flux on source plane $\mathcal{P}$
$F^{tot}$ Total flux through plane $\mathcal{P}$
$G$ Re-emission probability function
$H$ Hamiltonian
$\mathcal{L}$ Layer of grid points, $\mathcal{L}\subseteq\mathcal{G}$
$M$ Number of different material regions
$\mathcal{M}$ Material region number
$\hat{n}$ Normal unit vector on $\mathcal{S}$
$\vec{n}_{\mathcal{P}}$ Normal unit vector on $\mathcal{P}$ pointing towards $\mathcal{S}$
$p$ Pressure
$\vec{p}$ Grid point index
$\mathcal{P}$ Source plane
$q$ Particle species
$\hat{r}$ Unit vector in the direction of vector motion
$R$ Surface rate
$\mathcal{S}$ Description of the LS surface
$t$ Process time
$V\left(\vec{x}\right)$ Surface velocity
$\vec{x}$ Point in space, $\vec{x}=\left(x_1,...,x_D\right)\in\mathbb{R}$
$Y^{tot}$ Total sputter rate
$\Gamma$ Flux distribution on $\mathcal{S}$
$\eta$ Surface reaction order
$\kappa$ Mean curvature
$\nu$ Exponent in power cosine distribution
$\rho$ Bulk density
$\Theta$ Surface coverage

Oxidation of Silicon

$B$ Parabolic rate constant
$B\slash A$ Linear rate constant
$C^*$ Equilibrium concentration of oxidants in the ambient
$C_O$ Concentration of oxidants at the oxide surface
$C_S$ Concentration of oxidant at the Si-SiO$_2$ interface
$D$ Diffusivity
$h$ Gas-phase transport coefficient
$H$ Inverse Henry's law constant
$H_{bb}$ Maximum height of the nitride after oxidation
$F_1$ Oxidant flux entering the gas ambient from the bulk
$F_2$ Oxidant flux diffusing through the oxide
$F_3$ Oxidant flux reacting on the silicon surface
$k_B$ Boltsmann constant
$k_s$ Reaction rate at the Si-SiO$_2$ interface
$L_{bb}$ Maximum length of the nitride after oxidation
$N$ Number of oxidant molecules per unit volume of oxide grown
$N_{Si}$ Molecular density of silicon
$N_{SiO_2}$ Molecular density of silicon dioxide
$p$ Partial pressure
$t$ Time
$T$ Temperature
$x_i$ Initial oxide growth
$x_o$ Oxide thickness
$\tau$ Time parameter accounting for $x_i$

AFM Nanolithography

$2a'$ Barrier width between two interstitial sites
$C$ Normalization constant
$D$ Distance of point charge from the silicon surface
$E_0$ Fitting parameter $E_0=45$V/nm
$\vec{E}\left(\vec{p}\right)$ Electric field strength at $\vec{p}$
$h$ Humidity
$h\left(t,V_b\right)$ Nanodot height
$M$ Lorentz distribution $r_{max}$ dependent constant
$N$ Total number of charged dots for a rough needle tip
$q$ Electronic charge of an electron
$Q$ Effective point charge
$Q_{tot}$ Total effective charge after adding all point charges
$r$ Desired distribution along the radius
$R$ Fitting parameter (1.5$\times$10$^3$nm/s
$t$ Pulse time
$V\left(\vec{p}\right)$ Applied potential at point $\vec{p}$
$V_b$ Bias voltage
$w\left(t,V_b\right)$ Nanodot width, full width at half maximum
$x_r$ Value distributed according to the desired distribution
$\Phi\left(r\right)$ CPD function
$\sigma\left(x, y, z \right)$ Surface charge density on the wafer surface
$\sigma_{max}$ maximum surface charge density
$\theta$ Wire orientation with respect to the (010) direction
$\xi$ Evenly distributed value

Spray Pyrolysis

$a_r(t)$ Radial droplet acceleration
$a_v(t)$ Vertical droplet acceleration
$c_{ev}$ Vertical linearization constant for the electric field
$d_r(t)$ Radial droplet displacement
$d_v(t)$ Vertical droplet displacement
$D_{v,f}$ Average diffusion coefficient
$E$ Generated electric field strength
$F_e$ Force due to the applied electric field
$F_g$ Force due to gravity
$F_S$ Stokes force
$F_t$ Thermophoretic force
$q_d$ Droplet charge
$q_{max}$ Maximum droplet charge
$H$ Distance between wafer and atomizing nozzle
$K$ Surface evaporation rate
$l$ Effect of the thermophoretic force on droplet's acceleration
$K_V$ H$\slash$R ratio dependent value
$M_W$ Molecular weight of evaporating liquid
$N_A$ Avogadro's number
$r_d$ Droplet radius
$r_n$ Nozzle outlet radius
$R$ Outer nozzle radius
$\textrm{Re}$ Reynolds number
$S_C$ Schmidt's number
$t_{life}$ Lifetime of a droplet traveling through a heated ambient
$t_{th}$ Thickness of the thermal zone
$T_a$ Air temperature
$T_d$ Droplet temperature
$\nabla T_a$ Air temperature gradient
$v_a$ Air velocity
$v_r(t)$ Radial droplet velocity
$v_v(t)$ Vertical droplet velocity
$W_{crit}$ Critical Weber number
$\gamma$ Liquid-gas surface tension
$\eta_a$ Viscosity of air
$\kappa_a$ Conductivity of air
$\kappa_d$ Conductivity of droplet
$\rho_a$ Density of air
$\rho_d$ Droplet density
$\rho_e$ Fluid charge density
$\Phi_0$ Applied electrical potential
$\Phi^*$ Normalized potential $\left(\Phi\slash\Phi_0\right)$

BiCS Memory Hole Etching

$DR_p\left(\vec{x}\right)$ Deposition rate during SiO$_2$ etching
$ER_p\left(\vec{x}\right)$ Polymer etch rate during SiO$_2$ etching
$F_{Br}\left(\vec{x}\right)$ Bromine flux
$F_{O}\left(\vec{x}\right)$ Oxygen flux
$F_e\left(\vec{x}\right)$ Total etchant flux
$F_i\left(\vec{x}\right)$ Total ion flux
$F_p\left(\vec{x}\right)$ Total polymer flux
$k$ Bromine chemical etch rate constant
$V_{SiO_2}\left(\vec{x}\right)$ SiO$_2$ etch rate
$\beta$ Oxygen chemical etch rate constant
$\Theta_{Br}\left(\vec{x}\right)$ Bromine coverage during silicon etching
$\Theta_{O}\left(\vec{x}\right)$ Oxygen coverage during silicon etching
$\Theta\left(\vec{x}\right)_e$ Etchant surface coverage
$\Theta\left(\vec{x}\right)_e\slash p$ Surface coverage of active sites on polymer
$\Theta\left(\vec{x}\right)_p$ Polymer surface coverage




L. Filipovic: Topography Simulation of Novel Processing Techniques