next up previous contents
Next: List of Publications Up: PhD Thesis Heinrich Kirchauer Previous: D. Nonplanar Material Interface

Bibliography

1
G.E. Moore.
Cramming more Components onto Integrated Circuits.
Electronics, 38(8):114-117, April 1965.

2
R.R. Schaller.
Moore's Law: Past, Present, Future.
IEEE Spectrum, 34(6):52-59, June 1997.

3
Semiconductor Industry Association (SIA).
The National Technology Roadmap for Semiconductors.
San Jose, CA, 1997.

4
G.E. Moore.
Lithography and the Future of Moore's Law.
In Proc.SPIE Optical/Laser Microlithography VIII, vol. 2440, pp. 2-17, 1995.

5
R. Guerrieri, K.H. Tadros, J. Gamelin, and A.R. Neureuther.
Massively Parallel Algorithms for Scattering in Optical Lithography.
IEEE Trans.Computer-Aided Design, 10(9):1091-1100, September 1991.

6
A.K. Wong and A.R. Neureuther.
Rigorous Three-Dimensional Time-Domain Finite-Difference Electromagnetic Simulation for Photolithographic Applications.
IEEE Trans.Semicond.Manufact., 8(4):419-431, November 1995.

7
A.K. Wong, R. Guerrieri, and A.R. Neureuther.
Massively Parallel Electromagnetic Simulation for Photolithographic Applications.
IEEE Trans.Computer-Aided Design, 14(10):1231-1240, October 1995.

8
C.M. Yuan.
Efficient Light Scattering Modeling for Alignment, Metrology, and Resist Exposure in Photolithography.
IEEE Trans.Electron Devices, 39(7):1588-1598, July 1992.

9
H. Tanabe.
Modeling of Optical Images in Resists by Vector Potentials.
In Proc.SPIE Optical/Laser Microlithography V, vol. 1674, pp. 637-649, 1992.

10
K.D. Lucas, H. Tanabe, C.M. Yuan, and A.J. Strojwas.
Efficient and Rigorous 3D Model for Optical Lithography Simulation.
In Proc.SISDEP'95--Simulation of Semiconductor Devices and Processes, pp. 14-17, 1995.

11
M. Born and E. Wolf.
Principles of Optics.
Pergamon Press, Oxford, United Kingdom, 6 th ed., 1993.

12
J. Bokor, A.R. Neureuther, and W.G. Oldham.
Advanced Lithography for ULSI.
IEEE Circuits & Devices, 12(1):11-15, January 1996.

13
R.N. Singh, A.E. Rosenbluth, G.L.-T. Chiu, and J.S. Wilczynski.
High-Numerical-Aperture Optical Designs.
IBM J.Res.Dev., 41(1/2):39-48, January/March 1997.

14
T.A. Brunner.
Pushing the Limits of Lithography for IC fabrication.
In Int.Electron Devices Meeting, pp. 9-13, 1997.

15
M.M. O'Toole and A.R. Neureuther.
The Influence of Partial Coherence on Projection Printing.
In Proc.SPIE Developments in Semiconductor Microlithography IV, vol. 174, pp. 22-27, 1979.

16
M.D. Levenson, N.S. Visnawathan, and R.A. Simpson.
Improving Resolution in Photolithography with a Phase Shifting Mask.
IEEE Trans.Electron Devices, ED-29(12):1828-1836, December 1982.

17
B.J. Lin.
Phase-Shifting Masks Gain an Edge.
IEEE Circuits & Devices, 9(3):28-35, March 1993.

18
B.J. Lin.
Deep UV Lithography.
J.Vac.Sci.Technol., 12(6):1317-1320, November/December 1975.

19
R.T. Kerth, K. Jain, and M.R. Latta.
Excimer Laser Projection Lithography on a Full-Field Scanning Projection System.
IEEE Electron Device Lett., EDL-7(5):299-301, May 1986.

20
L. Van den hove.
Optical Lithography, how far will it get us?
In Proc.ESSDERC'97--27 th European Solid State Device Research Conference, pp. 163-169, 1997.

21
H. Fukuda, T. Terasawa, and S. Okazaki.
Spatial Filtering for Depth of Focus and Resolution Enhancement in Optical Lithography.
J.Vac.Sci.Technol., B9(6):3113-3116, November/December 1991.

22
R. von Brünau, G. Owen, and R.F. Pease.
Depth of Focus Enhancement in Optical Lithography.
J.Vac.Sci.Technol., B10(6):3047-3054, November/December 1992.

23
S.A. Campbell.
The Science and Engineering of Microelectronic Fabrication.
Oxford University Press, New York, 1996.

24
B. El-Kareh.
Fundamentals of Semiconductor Processing Technologies.
Kluwer Academic Publishers, Dordrecht, The Netherlands, 1995.

25
H. Ito.
Deep-UV Resists: Evolution and Status.
Solid-State Technol., pp. 164-173, July 1996.

26
M.C. Peckerar and J.R. Maldonado.
X-Ray Lithography: An Overview.
Proc.IEEE, 81(9):1249-1274, September 1993.

27
G.H. Jensen.
Coulomb Interaction in Particle Beams.
Academic Press, Boston, MA, 1990.

28
M.M. Mkrtchyan, J.A. Liddle, S.D. Berger, L.R. Harriot, A.M. Schwartz, and J.M. Gibson.
An Analytical Model of Stochastic Interaction Effects in Projection Systems Using a Nearest-Neighbor Approach.
J.Vac.Sci.Technol., B12(6):3508-3512, November/December 1994.

29
G. Owen and P. Rissman.
Proximity Effect Correction for E-Beam Lithography by Equalization of Background Dose.
J.Appl.Phys., 54(6):3573-3581, June 1983.

30
S.J. Wind, M.G. Rosenfield, G. Pepper, W.W. Molzen, and P.D. Gerber.
Proximity Correction for Electron Beam Lithography Using a Three-Gaussian Model for the Electron Beam Distribution.
J.Vac.Sci.Technol., B7(6):1507-1512, November/December 1989.

31
T.R. Groves.
Efficiency of E-Beam Proximity Correction.
J.Vac.Sci.Technol., B11(6):2746-2753, November/December 1993.

32
M.C. Peckerar, S. Chang, and C.R.K. Marrian.
Proximity Correction Algorithms and a Co-Processor Based on Regularized Optimization. I. Description of the Algorithm.
J.Vac. Sci.Technol., B13(6):2518-2525, November/December 1995.

33
S.D. Berger, J.M. Gibson, R.M. Camarda, R.C. Farrow, H.A. Huggins, J.S. Kraus, and J.A. Liddle.
Projection Electron-Beam Lithography: A New Approach.
J.Vac.Sci.Technol., B9(6):2996-2999, November/December 1991.

34
G.P. Watson, S.D. Berger, J.A. Little, and W.K. Waskiewicz.
A Background Dose Proximity Effect Correction Technique For Scattering With Angular Limitation Projection Lithography Implemented in Hardware.
J.Vac.Sci.Technol., B13(6):2504-2507, November/December 1995.

35
G. Binning, H. Rohrer, Ch. Gerber, and E. Weibel.
Surface Studies by Scanning Tunneling Microscopy.
Phys.Rev.Lett., 49:57-64, 1982.

36
G. Binning, C.F. Quate, and Ch. Gerber.
Atomic Force Microscope.
Phys.Rev.Lett., 56:930-933, 1986.

37
H. Löschner, G. Stengl, I.L. Berry, J.N. Randall, J.C. Wolfe, W. Finkelstein, R.W. Hill, J. Melngailis, L.R. Harriott, W. Brunger, and L.M. Buchmann.
Ion Projection: The Successor to Optical Lithography.
In Proc.SPIE Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies IV, vol. 2194, pp. 384-393, 1994.

38
W.H. Brünger, L.M. Buchmann, F. Naumann, D. Friedrich, W. Finkelstein, and R. Mohondro.
Damage Characterization of Ion Beam Exposed Metal-Oxide-Semiconductor Varactor Cells by Charge to Breakdown Measurements.
J.Vac.Sci.Technol., B13(6):2561-2564, November/December 1995.

39
W.H. Brünger, M. Torkler, L.M. Buckmann, and W. Finkelstein.
Chemically Amplified Deep Ultraviolet Resist for Positive Tone Ion Exposure.
J.Vac.Sci.Technol., B15(6):2355-2357, November/December 1997.

40
A.A. Mondelli, I.L. Berry, J. Melngailis, and G. Gross.
Ion Projection Lithography.
Microlithography World, pp. 12-18, Autumn 1997.

41
P.E. Mauger, A.R. Simkunas, J.C. Wolfe, S. Sen, H. Löschner, and G. Stengl.
Silicon Stencil Masks for Lithography Below 0.25 $ \mu$m by Ion-Projection Exposure.
J.Vac.Sci.Technol., B10(6):2819-2823, November/December 1992.

42
J.C. Wolfe, S.V. Pendharkar, P. Ruchhoeft, S. Sen, M.D. Morgan, W.E. Horne, R.C. Tiberio, and J.N. Randall.
A Proximity Ion Beam Lithography Process for High Density Nanostructures.
J.Vac.Sci.Technol., B14(6):3896-3899, November/December 1996.

43
J. Riordon, L. Didenko, and J. Melngailis.
Stencil Mask Temperature Measurement and Control During Ion Irradiation.
J.Vac.Sci.Technol., B14(6):3900-3902, November/December 1996.

44
E. Hammel, A. Chalupka, J. Fegerl, R. Fischer, G. Lammer, H. Löschner, L. Malek, R. Novak, G. Stengl, H. Vonach, P. Wolf, W.H. Brünger, L.M. Buchmann, M. Torkler, E. Cekan, W. Fallmann, F. Paschke, G. Stangl, F. Thalinger, I.L. Berry, L.R. Harriott, W. Finkelstein, and R.W. Hill.
Experimental Investigations of Stochastic Space Charge Effects on Pattern Resolution in Ion Projection Lithography Systems.
J.Vac.Sci.Technol., B12(6):3533-3538, November/December 1994.

45
A. Weidenhauser, R. Spehr, and H. Rose.
Stochastic Ray Deflections in Focused Charged Particle Beams.
Optik, 69(3):126-134, 1985.

46
A. Chalupka, G. Stengl, H. Buschbeck, G. Lammer, H. Vonach, R. Fischer, E. Hammel H. Löschner, R. Nowak, P. Wolf, W. Finkelstein, R.W. Hill I.L. Berry, L.R. Harriott J. Melngailis, J.N. Randall J.C. Wolfe, H. Stroh, H. Wollnik, A.A. Mondelli, J.J. Petillo, and K. Leung.
Novel Electrostatic Column for Ion Projection Lithography.
J.Vac.Sci.Technol., B12(6):3513-3517, November/December 1994.

47
K.K.H. Toh and A.R. Neureuther.
Three-Dimensional Simulation of Optical Lithography.
In Proc.SPIE Optical/Laser Microlithography IV, vol. 1463, pp. 356-367, 1991.

48
J.W. Bossung.
Projection Printing Characterization.
In Proc.SPIE Developments in Semiconductor Microlithography II, vol. 100, pp. 80-84, 1977.

49
M.D. Levenson, D.S. Goodman, S. Lindsey, P.W. Bayer, and H.A.E. Santini.
The Phase-Shifting Mask II: Imaging Simulations and Submicrometer Resist Exposures.
IEEE Trans.Electron Devices, ED-31(6):753-763, June 1984.

50
H.J. Levinson and W.H. Arnold.
Focus: The Critical Parameter for Submicron Lithography.
J.Vac.Sci.Technol., B5(1):293-298, January/February 1987.

51
C.A. Mack.
Algorithm for Optimizing Stepper Performance Through Image Manipulation.
In Proc.SPIE Optical/Laser Microlithography III, vol. 1264, pp. 71-82, 1990.

52
C.A. Mack.
Optimization of the Spatial Properties of Illumination for Improved Lithographic Response.
In Proc.SPIE Optical/Laser Microlithography VI, vol. 1927, pp. 125-136, 1993.

53
C.A. Mack, A. Stephanakis, and R. Hershel.
Lumped Parameter Model of the Photolithographic Process.
In Proc.Kodak Microelectronics Seminar, pp. 228-238, 1986.

54
C.A. Mack.
Enhanced Lumped Parameter Model for Photolithography.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2197, pp. 501-510, 1994.

55
M.P.C. Watts and M.R. Hannifan.
Optical Positive Resist Processing II: Experimental and Analytical Model Evaluation.
In Proc.SPIE Advances in Resist Technology and Processing II, vol. 539, pp. 21-28, 1985.

56
E. Ong, B. Singh, R.A. Ferguson, and A.R. Neureuther.
Comparison of Proximity Effects in Contrast Enhancement Layer and Bilayer Resist Processes.
J.Vac.Sci.Technol., B5(1):443-448, January/February 1987.

57
P. Chien and M. Chen.
Proximity Effects in Submicron Optical Lithography.
In Proc.SPIE Optical Microlithography VI, vol. 722, pp. 35-40, 1987.

58
A. Starikov.
Use of a Single Size Square Serif for Variable Print Bias Compensation in Microlithography: Method, Design, and Practice.
In Proc.SPIE Optical/Laser Microlithography II, vol. 1088, pp. 34-46, 1989.

59
O.W. Otto, J.G. Garofalo, K.K. Low, C.M. Yuan, R.C. Henderson, C. Pierrat, R.L. Kostelka, S. Vaidya, and P.K. Vasudev.
Automated Optical Proximity Correction--A Rules-Based Approach.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2197, pp. 278-293, 1994.

60
R. Pforr, A.K. Wong, K. Ronse, L. Van den hove, A. Yen, S. Palmer, G. Fuller, and O. Otto.
Feature-Biasing Versus Feature-Assisted Lithography--A Comparison of Proximity Correction Methods for .
In Proc.SPIE Optical/Laser Microlithography VIII, vol. 2440, pp. 150-170, 1995.

61
E. Barouch, U. Hollerbach, and R. Vallishayee.
OPTIMASK: An OPC Algorithm for Chrome and Phase-Shift Mask Design.
In Proc.SPIE Optical/Laser Microlithography VIII, vol. 2440, pp. 192-197, 1995.

62
N. Cobb and A. Zakhor.
Large Area Phase-Shift Mask Design.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2197, pp. 348-360, 1994.

63
Y.C. Pati and T. Kailath.
Phase-Shifting Masks for Microlithography: Automated Design and Mask Requirements.
J.Opt.Soc.Am., A11(9):2438-2452, September 1994.

64
Y.C. Pati, A.A. Ghazanfarian, and R.F. Pease.
Exploiting Structure in Fast Aerial Image Computation for Integrated Circuit Patterns.
IEEE Trans.Semicond.Manufact., 10(1):62-74, February 1997.

65
D.C. Cole, E. Barouch, U. Hollerbach, and S.A. Orszag.
Extending Scalar Aerial Image Calculation to Higher Numerical Apertures.
J.Vac.Sci.Technol., B10(6):3037-3041, November/December 1992.

66
B.W. Smith, D.G. Flagello, J.R. Summa, and L.F. Fuller.
Comparison of Scalar and Vector Diffraction Modeling for Deep-UV Lithography.
In Proc.SPIE Optical/Laser Microlithography VI, vol. 1927, pp. 847-857, 1993.

67
C.A. Mack and C.B. Juang.
Comparison of Scalar and Vector Modeling in Image Formation in Photoresist.
In Proc.SPIE Optical/Laser Microlithography VIII, vol. 2440, pp. 381-394, 1995.

68
A.K. Wong and A.R. Neureuther.
Mask Topography Effects in Projection Printing of Phase-Shifting Masks.
IEEE Trans.Electron Devices, 41(6):895-902, June 1994.

69
K.D. Lucas, C.M. Yuan, and A.J. Strojwas.
A Rigorous and Practical Vector Model for Phase Shifting Masks in Optical Lithography.
In Proc.SPIE Optical/Laser Microlithography V, vol. 1674, pp. 252-263, 1992.

70
J. Gamelin, R. Guerrieri, and A.R. Neureuther.
Exploration of Scattering from Topography with Massively Parallel Computers.
J.Vac.Sci.Technol., B7(6):1984-1990, November/December 1989.

71
E. Barouch, B. Bradie, U. Hollerbach, and S.A. Orszag.
Positive Photoresist Process Simulation over Nonplanar Substrates.
J.Vac.Sci.Technol., B8(6):1432-1436, November/December 1990.

72
A.J.W. Tol, G.D. Maxwell, H.P. Urbach, and R.J. Visser.
Simulation of Scattering Effects in Photo-Lithography.
In Proc.SPIE Optical/Laser Microlithography III, vol. 1264, pp. 278-293, 1990.

73
K.D. Lucas, C.M. Yuan, and A.J. Strojwas.
A New Vector Model for Photoresist Bleaching in Optical Lithography.
In Proc.SISDEP'91--Simulation of Semiconductor Devices and Processes, pp. 375-380, 1991.

74
F.H. Dill, W.P. Hornberger, P.S. Hauge, and J.M. Shaw.
Characterization of Positive Photoresist.
IEEE Trans.Electron Devices, ED-22(7):445-452, July 1975.

75
D.J. Kim, W.G. Oldham, and A.R. Neureuther.
Development of Positive Photoresist.
IEEE Trans.Electron Devices, ED-31(12):1730-1736, December 1984.

76
E.J. Walker.
Reduction of Photoresist Standing-Wave Effects by Post-Exposure Bake.
IEEE Trans.Electron Devices, ED-22(7):464-466, July 1975.

77
M.A. Narasimham and J.B. Lounsbury.
Dissolution Characterization of Some Positive Photoresist Systems.
In Proc.SPIE Developments in Semiconductor Microlithography II, vol. 100, pp. 57-64, 1977.

78
F.H. Dill and J.M. Shaw.
Thermal Effects on the Photoresist AZ1350J.
IBM J.Res.Dev., 21(3):210-218, May 1977.

79
T.R. Pampalone.
Novolac Resins Used in Positive Resist Systems.
Solid-State Technol., 27(6):115-120, June 1984.

80
H. Klose, R. Sigush, and W. Arden.
Image Reversal of Positive Photoresist: Characterization and Modeling.
IEEE Trans.Electron Devices, ED-32(9):1654-1661, September 1985.

81
P. Trefonas and B.K. Daniels.
New Principle for Image Enhancement in Single Layer Positive Photoresists.
In Proc.SPIE Advances in Resist Technology and Processing IV, vol. 721, pp. 194-210, 1987.

82
J.R. Sheats.
Reciprocity Failure in Novolak/Diazoquinone Photoresist with 364-nm Exposure.
IEEE Trans.Electron Devices, ED-35(1):129-130, January 1988.

83
C. Zee, W.R. Bell II, and A.R. Neureuther.
Effect of Developer Type and Agitation on Dissolution of Positive Resist.
In Proc.SPIE Advances in Resist Technology and Processing V, vol. 920, pp. 154-161, 1988.

84
J.W. Thackeray, G.W. Orsula, E.K. Pavelchek, D. Canistro, L.E. Bogan, A.K. Berry, and K.A. Graziano.
Deep UV ANR Photoresist for 248 nm Excimer Laser Photolithography.
In Proc.SPIE Advances in Resist Technology and Processing VI, vol. 1086, pp. 34-47, 1989.

85
R. Tarascon, E. Reichmanis, F. Houlihan, A. Shugard, and L.F. Thompson.
Poly(t-BOC styrene sulfone)-Based Chemically Amplified Resists for Deep-UV Lithography.
Polymer Engineering and Science, 29(13):850-855, July 1989.

86
R.A. Ferguson, J.M. Hutchinson, C.A. Spence, and A.R. Neureuther.
Modeling and Simulation of an Acid Hardening Resist.
J.Vac.Sci.Technol., B8(6):1423-1427, November/December 1990.

87
R.A. Ferguson, C.A. Spence, E. Reichmanis, and L.F. Thompson.
Investigation of the Exposure and Bake of a Positive-Acting Resist with Chemical Amplification.
In Proc.SPIE Advances in Resist Technology and Processing VII, vol. 1262, pp. 412-424, 1990.

88
R.A. Ferguson.
Modeling and Simulation of Reaction Kinetics in Advanced Resist Processes of Optical Lithography.
Dissertation, University of California, Berkeley, CA, 1991.

89
R.R. Kunz, P.A. Bianconi, M.W. Horn, R.R. Paladugu, D.C. Shaver, D.A. Smith, and C.A. Freed.
Polysylane Resist for 193 nm Excimer Laser Lithography.
In Proc.SPIE Advances in Resist Technology and Processing VIII, vol. 1466, pp. 218-226, 1991.

90
C.A. Mack.
New Kinetic Model for Resist Dissolution.
J.Electrochem.Soc., 139(4):L35-L37, April 1992.

91
J. Sturtevant, S.J. Holmes, and P. Rabidoux.
Postexposure Bake Characteristics of a Chemically Amplified Deep-Ultraviolet Resist.
In Proc.SPIE Advances in Resist Technology and Processing IX, vol. 1672, pp. 114-124, 1992.

92
W.D. Hinsberg, S.A. McDonald, N.J. Cleack, C.D. Synder, and H. Ito.
Influence of Polymer Properties on Airborne Chemical Contamination of Chemically Amplified Resists.
In Proc.SPIE Advances in Resist Technology and Processing X, vol. 1925, pp. 43-52, 1993.

93
N. Eib, E. Barouch, U. Hollerbach, and S. Orszag.
Characterization and Simulation of Acid Catalyzed DUV Positive Photoresist.
In Proc.SPIE Advances in Resist Technology and Processing X, vol. 1925, pp. 186-196, 1993.

94
T.H. Fedynyshyn, C.R. Szmanda, R.F. Blacksmith, and W.E. Houck.
The Relationship between Resist Performance and Acid Diffusion in Chemically Amplified Resist Systems.
In Proc.SPIE Advances in Resist Technology and Processing X, vol. 1925, pp. 2-13, 1993.

95
A.A. Kranosperova, M.T. Reily, S. Turner, L. Ocola, and F. Cerrina.
Prebake and Post-Exposure Bake Effects on the Dissolution of AZ-PF.
In Proc.SPIE Advances in Resist Technology and Processing X, vol. 1925, pp. 323-334, 1993.

96
M. Zuniga, G. Wallraff, E. Tomacruz, B. Smith, C. Larsen, W.D. Hinsberg, and A.R. Neureuther.
Simulation of Locally Enhanced Three-Dimensional Diffusion in Chemically Amplified Resists.
J.Vac.Sci.Technol., B11(6):2862-2866, November/December 1993.

97
M. Zuniga, G. Wallraff, and A.R. Neureuther.
Reaction Diffusion Kinetics in Deep-UV Positive Tone Resist Systems.
In Proc.SPIE Advances in Resist Technology and Processing XII, vol. 2438, pp. 113-124, 1995.

98
G. Wallraff, W.E. Hinsberg, F. Houle, J. Optiz, D. Hopper, and J. Hutchinson.
Kinetics of Chemically Amplified Resists.
In Proc.SPIE Advances in Resist Technology and Processing XII, vol. 2438, pp. 182-190, 1995.

99
J.S. Petersen, C.A. Mack, J.W. Thackery, R. Sinta, T.H. Fedynyshyn, J.M. Mori, J.D. Byers, and D.A. Miller.
Characterization and Modeling of Positive Acting Chemically Amplified Resist.
In Proc.SPIE Advances in Resist Technology and Processing XII, vol. 2438, pp. 153-166, 1995.

100
J.S. Petersen, C.A. Mack, J. Sturtevant, J.D. Byers, and D.A. Miller.
Non-Constant Diffusion Coefficients: Short Description of Modeling and Comparison to Experimental Results.
In Proc.SPIE Advances in Resist Technology and Processing XII, vol. 2438, pp. 167-180, 1995.

101
P. Rai-Choudhury.
Handbook of Microlithography, Micromachining, and Microfabrication, Volume 1: Microlithography.
SPIE Press Monograph PM39, Bellingham, WA, 1997.

102
D.A. Bernard.
Simulation of Focus Effects in Photolithography.
IEEE Trans.Semicond.Manufact., 1(3):85-97, August 1988.

103
D.A. Bernard and H.P. Urbach.
Thin-film Interference Effects in Photolithography for Finite Numerical Apertures.
J.Opt.Soc.Am., A8(1):123-133, January 1991.

104
E. Barouch, J.W. Chan, U. Hollerbach, and S.A. Orszag.
Numerical Simulation of Submicron Photolithographic Processing.
J.Sci.Computing, 6(3):229-250, June 1991.

105
C.M. Yuan and A.J. Strojwas.
Modeling Optical Equipment for Wafer Alignment and Line-Width Measurement.
IEEE Trans.Semicond.Manufact., 4(2):99-110, May 1991.

106
W. Henke, D. Mewes, M. Weiß, G. Czech, and R. Schließl-Hoyler.
A Study of Reticle Defects Imaged into Three-Dimensional Developed Profiles of Positive Photoresists Using the SOLID Lithography Simulator.
Microelectronic Engineering, 14:283-297, 1991.

107
C.A. Mack.
PROLITH: A Comprehensive Optical Lithography Model.
In Proc.SPIE Optical Microlithography IV, vol. 538, pp. 207-220, 1985.

108
C.A. Mack.
Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation.
FINLE Technologies, Austin, TX, 1997.

109
W.G. Oldham, S.N. Nandgaonkar, A.R. Neureuther, and M.M. O'Toole.
A General Simulator for VLSI Lithography and Etching Processes: Part I--Application to Projection Lithography.
IEEE Trans.Electron Devices, ED-26(4):717-722, April 1979.

110
E.W. Scheckler, K.K.H. Toh, D.M. Hoffstetter, and A.R. Neureuther.
3D Lithography, Etching, and Deposition Simulation (SAMPLE-3D).
In Proc.1991 Symposium on VLSI Technology, pp. 97-98, 1991.

111
J.W. Goodman.
Introduction to Fourier Optics.
McGraw-Hill, New York, 1968.

112
D.C. Cole, E. Barouch, U. Hollerbach, and S.A. Orszag.
Derivation and Simulation of Higher-Numerical-Aperture Scalar Aerial Images.
Jpn.J.Appl.Phys., 31(12B):4110-4119, December 1992.

113
D.G. Flagello and A.E. Rosenbluth.
Lithographic Tolerances Based on Vector Diffraction Theory.
J.Vac.Sci.Technol., B10(6):2997-3003, November/December 1992.

114
M.S.C. Yeung.
Modeling High Numerical Aperture Optical Lithography.
In Proc.SPIE Optical/Laser Microlithography, vol. 922, pp. 149-167, 1988.

115
M. Abramowitz and I.A. Stegun.
Handbook of Mathematical Functions.
Dover Publications, New York, 9 th ed., 1972.

116
B.J. Lin.
The Exposure-Defocus Forest.
Jpn.J.Appl.Phys., 33(12B):6756-6764, December 1994.

117
K.K.H. Toh and A.R. Neureuther.
Identifying and Monitoring Effects of Lens Aberrations in Projection Printing.
In Proc.SPIE Optical Microlithography VI, vol. 722, pp. 202-209, 1987.

118
K.K.H. Toh.
Two-Dimensional Images with Effects of Lens Aberrations in Optical Lithography.
Master's thesis, University of California, Berkeley, CA, May 1988.

119
J. Gortych and D. Williamson.
Phase-Shifting Photolithography Applicable to Real IC Patterns.
In Proc.SPIE Optical/Laser Microlithography IV, vol. 1463, pp. 207-217, 1991.

120
T.A. Brunner.
Impact of Lens Aberrations on Optical Lithography.
IBM J.Res.Dev., 41(1/2):57-67, January/March 1997.

121
H. Fukuda, N. Hasegawa, T. Tanaka, and T. Hayashida.
A New Method for Enhancing Focus Latitude in Optical Lithography: FLEX.
IEEE Electron Device Lett., EDL-8(4):179-180, April 1987.

122
H. Fukuda, N. Hasegawa, and S. Okazaki.
Improvement of Defocus Tolerance in Half-Micron Optical Lithography by the Focus Latitude Exposure Method: Simulation and Experiment.
J.Vac.Sci.Technol., B7(4):667-674, July/August 1989.

123
T. Terasawa, N. Hasegawa, T. Kurosaki, and T. Tanaka.
0.3-Micron Optical Lithography using a Phase-shifting Mask.
In Proc.SPIE Optical/Laser Microlithography II, vol. 1088, pp. 25-33, 1989.

124
H.H. Hopkins.
The Concept of Partial Coherence in Optics.
Proc.Royal Soc.London, A208:263-277, 1951.

125
H.H. Hopkins.
On the Diffraction Theory of Optical Images.
Proc.Royal Soc.London, A217:408-432, 1953.

126
H.H. Hopkins.
The Frequency Response of a Defocused Optical System.
Proc.Royal Soc.London, A231:91-103, 1955.

127
M.S. Yeung, D. Lee, R. Lee, and A.R. Neureuther.
Extension of the Hopkins Theory of Partially Coherent Imaging to Include Thin-Film Interference Effects.
In Proc.SPIE Optical/Laser Microlithography VI, vol. 1927, pp. 452-463, 1993.

128
P. Fleischmann, R. Sabelka, A. Stach, R. Strasser, and S. Selberherr.
Grid Generation for Three-Dimensional Process and Device Simulation.
In Proc.SISPAD'96--Simulation of Semiconductor Processes and Devices, pp. 161-166, 1997.

129
J.M. Whittaker.
Interpolatory Function Theory.
ch. 4, vol. 33, Cambridge Tracts in Mathematics and Mathematical Physics, Cambridge, 1935.

130
C.E. Shannon.
Communication in the Presence of Noise.
Proc.IEE, 37:10-21, January 1949.

131
A.V. Oppenheim and R.W. Schaefer.
Discrete-Time Signal Processing.
Prentice Hall, Englewood Cliffs, NJ, 1989.

132
W.H. Press, S.A. Teukolsk, W.T. Vetterling, and B.P. Flannery.
Numerical Recipes in C.
Cambridge University Press, New York, 2 nd ed., 1995.

133
Ch. Überhuber.
Computer-Numerik, Teil 2.
Springer, Berlin, Germany, 1995.

134
P.N. Swarztrauber.
Vectorizing the FFTs.
In G. Rodrigue, ed., Parallel Computations, pp. 51-83. Academic Press, New York, 1982.

135
F.H. Dill.
Optical Lithography.
IEEE Trans.Electron Devices, ED-22(7):440-444, July 1975.

136
S.V. Babu and E. Barouch.
Exact Solution of Dill's Model Equations for Positive Photoresist Kinetics.
IEEE Electron Device Lett., EDL-7(4):252-253, April 1986.

137
J.J. Diamond and J.R. Sheats.
Simple Algebraic Description of Photoresist Exposure and Contrast Enhancement.
IEEE Electron Device Lett., EDL-7(6):383-386, June 1986.

138
C.A. Mack, T. Matsuzawa, A. Sekiguchi, and Y. Minami.
Resist Metrology for Lithography Simulation, Part 1: Exposure Parameter Measurements.
In Proc.SPIE Metrology, Inspection, and Process Control for Microlithography X, vol. 2725, pp. 34-48, 1996.

139
D. Seligson, S. Das, H. Gaw, and P. Pianetta.
Process Control with Chemical Amplification Resists Using Deep Ultraviolet and X-Ray Radiation.
J.Vac.Sci.Technol., B6(6):2303-2307, November/December 1988.

140
K.L. Konnerth and F.H. Dill.
In-Situ Measurement of Dielectric Thickness During Etching or Development Processes.
IEEE Trans.Electron Devices, ED-22(7):452-456, July 1975.

141
F.H. Dill, A.R. Neureuther, J.A. Tuttle, and E.J. Walker.
Modeling Projection Printing of Positive Photoresists.
IEEE Trans.Electron Devices, ED-22(7):456-464, July 1975.

142
P.H. Berning.
Theory and Calculations of Optical Thin Films.
In G. Hass, ed., Physics of Thin Films, vol. 1, pp. 69-121. Academic Press, New York, 1963.

143
C.A. Mack.
Understanding Focus Effects in Submicrometer Optical Lithography.
Optical Engineering, 27:1093-1100, 1988.

144
A. Erdmann and W. Henke.
Simulation of Light Propagation in Optical Linear and Nonlinear Resist Layers by Finite Difference Beam Propagation and Other Methods.
J.Vac.Sci.Technol., B14(6):3734-3737, November/December 1996.

145
C.A. Mack.
Analytical Expression for the Standing Wave Intensity in Photoresist.
Appl.Opt., 25(12):1958-1961, June 1986.

146
R.H. Wang.
BTU--Berkeley Topography Utilities for Linking Topography and Impurity Diffusion Simulation.
Master's thesis, University of California, Berkeley, CA, 1991.

147
A. Erdmann, C.L. Henderson, C.G. Willson, and W. Henke.
Influence of Optical Nonlinearities of Photoresists on the Photolithographic Process: Applications.
In Proc.SPIE Emerging Lithographic Technologies, vol. 3048, pp. 114-124, 1997.

148
Y. Chung and N. Dagli.
An Assessment of Finite Difference Beam Propagation Method.
IEEE J.Quantum Electronics, 26(8):1335-1339, August 1990.

149
G.R. Hardley.
Transparent Boundary Conditions for the Beam Propagation Method.
IEEE J.Quantum Electronics, 28(1):363-370, January 1992.

150
C. Vassallo.
Reformulation for the Beam-Propagation Method.
J.Opt.Soc.Am., A10(10):2208-2216, October 1993.

151
P.L. Liu, Q. Zhao, and F.W. Choa.
Slow-Wave Finite-Difference Beam Propagation Method.
IEEE Photonics Techn.Lett., 7(8):890-892, August 1995.

152
T. Matsuzawa, A. Moniwa, N. Hasegawa, and H. Sunami.
Two-Dimensional Simulation of Photolithography on Reflective Stepped Substrate.
IEEE Trans.Computer-Aided Design, CAD-6(3):446-451, March 1987.

153
H.P. Urbach and D.A. Bernard.
Modeling Latent-Image Formation in Photolithography, using the Helmholtz Equation.
J.Opt.Soc.Am., A6(9):1343-1356, September 1989.

154
H.P. Urbach.
Analysis of a Model for Imaging in Photolithography.
J.Math.Anal.Appl., 144(1):242-274, November 1989.

155
H.P. Urbach.
Convergence of the Galerkin Method for 2D Electromagnetic Problems.
SIAM J.Numer.Anal., 28(3):697-710, June 1991.

156
H.P. Urbach.
Photolithography and the mathematical Theory of Diffraction.
In H. Blok, H.A. Ferwerda, and H.K. Kuiken, eds., Huygens' Principle 1690-1990: Theory and Applications, pp. 215-245. Elsevier, North-Holland, The Netherlands, 1992.

157
G.E. Karniadakis.
Spectral Element Simulations of Laminar and Turbulent Flows in Complex Geometries.
Appl.Num.Math, 6(1-2):85-105, December 1989.

158
E. Barouch and B. Bradie.
Comprehensive 3-D Notching Simulator With Non-Planar Substrates.
In Proc.SPIE Optical/Laser Microlithography III, vol. 1264, pp. 334-342, 1990.

159
K.S. Yee.
Numerical Solution of Initial Boundary Value Problems Involving Maxwell's Equations in Isotropic Media.
IEEE Trans.Antenn.Propagat., AP-14(5):302-307, May 1966.

160
A. Taflove and M.E. Brodwin.
Numerical Solution of Steady-State Electromagnetic Scattering Problems Using the Time Dependent Maxwell's Equations.
IEEE Trans.Microwave Theory Technol., MTT-23(8):623-630, August 1975.

161
G. Wojcik, D. Vaughan, and L. Galbraith.
Calculation of Light Scatter from Structures on Silicon Surface.
In Proc.SPIE Lasers in Microlithography, vol. 774, pp. 21-31, 1987.

162
G.L. Wojcik, D.K. Vaughan, J. Mould, F. Leon, Q.-D. Qian, and M.A. Lutz.
Laser Alignment Modeling Using Rigorous Numerical Simulations.
In Proc.SPIE Optical/Laser Microlithography IV, vol. 1463, pp. 292-303, 1991.

163
R. Socha, M. Cagan, Z. Krivokapic, and A.R. Neureuther.
Effects of Wafer Topography on the Formation of Polysilicon Gates.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2440, pp. 361-371, 1995.

164
K. Tadros, A.R. Neureuther, and R. Guerrieri.
Understanding Metrology of Polysilicon Gates through Reflectance Measurements and Simulation.
In Proc.SPIE Integrated Circuit Metrology, Inspection and Process Control V, vol. 1464, pp. 177-186, 1991.

165
T. Doi, K. Tadros, B. Kuyel, and A.R. Neureuther.
Edge-Profile, Materials, and Protective Coating Effects on Image Quality.
In Proc.SPIE Integrated Circuit Metrology, Inspection and Process Control V, vol. 1464, pp. 336-345, 1991.

166
A. Wong, T. Doi, D. Dunn, and A.R. Neureuther.
Experimental and Simulation Studies of Alignment Marks.
In Proc.SPIE Optical/Laser Microlithography IV, vol. 1463, pp. 315-323, 1991.

167
R. Socha, M. Yeung, A.R. Neureuther, and R. Singh.
Models for Characterizing Phase-Shift Design in Optical Projection Printing.
IEEE Trans.Semicond.Manufact., 8(2):1-11, May 1995.

168
R.J. Socha and A.R. Neureuther.
Propagation Effects of Partial Coherence in Optical Lithography.
J.Vac.Sci.Technol., B14(6):3724-3729, November/December 1996.

169
T. Tamir, H.C. Wang, and A.A. Oliner.
Wave Propagation in Sinusoidally Stratified Dielectric Media.
IEEE Trans.Microwave Theory Technol., MTT-12(3):323-335, May 1964.

170
C.B. Burckhardt.
Diffraction of a Plane Wave at a Sinusoidally Stratified Dielectric Grating.
J.Opt.Soc.Am., 56(11):1502-1509, November 1966.

171
R.S. Chu and T. Tamir.
Guided-Wave Theory of Light Diffraction by Acoustic Microwaves.
IEEE Trans.Microwave Theory Technol., MTT-18(8):486-504, August 1970.

172
R.S. Chu and T. Tamir C. Eng.
Wave Propagation and Dispersion in Space-Time Periodic Media.
Proc.IEE, 119(7):797-806, July 1972.

173
F.G. Kaspar.
Diffraction by Thick, Periodically Stratified Grating with Complex Dielectric Constant.
J.Opt.Soc.Am., 63(1):37-45, January 1973.

174
S.T. Peng, T. Tamir, and H.L. Bertoni.
Theory of Periodic Dielectric Waveguides.
IEEE Trans.Microwave Theory Technol., MTT-23(1):123-133, January 1975.

175
R.S. Chu and J.A. Kong.
Modal Theory of Spatially Periodic Media.
IEEE Trans.Microwave Theory Technol., MTT-25(1):18-24, January 1977.

176
W.R. Klein and B.D. Cook.
Unified Approach to Ultrasonic Light Diffraction.
IEEE Trans.Sonic Ultrason., SU-14(3):123-134, July 1967.

177
H. Kogelnik.
Coupled Wave Theory for Thick Hologram Gratings.
Bell Syst.Tech.J., 48(9):2909-2947, November 1969.

178
G.L Fillmore and R.F. Tynan.
Sensitometric Characteristics of Hardened Dichromated-Gelatin Films.
J.Opt.Soc.Am., 61(2):199-203, February 1971.

179
J.A. Kong.
Second-Order Coupled-Mode Equations for Spatially Periodic Media.
J.Opt.Soc.Am., 67(6):825-829, June 1977.

180
R. Magnusson and T.K. Gaylord.
Analysis of Multiwave Diffraction by Thick Gratings.
J.Opt.Soc.Am., 67(9):1165-1170, September 1977.

181
M.G. Moharam and T.K. Gaylord.
Rigorous Coupled-Wave Analysis of Planar-Grating Diffraction.
J.Opt.Soc.Am., 71(7):811-818, July 1981.

182
M.G. Moharam and T.K. Gaylord.
Coupled-Wave Analysis of Reflection Grating.
Appl.Opt., 20(7):240-244, July 1981.

183
R. Magnusson and T.K. Gaylord.
Equivalence of Multiwave Coupled-Wave Theory and Modal Theory of Periodic-Media Diffraction.
J.Opt.Soc.Am., 68(68):1777-1779, December 1978.

184
R. Petit.
Electromagnetic Theory of Gratings.
Springer, Berlin, Germany, 1980.

185
D. Nyyssonen and C.P. Kirk.
Optical Microscope Imaging of Lines Patterned in Thick Layers with Variable Edge Geometry: Theory.
J.Opt.Soc.Am., A5(8):1270-1280, August 1988.

186
C.M. Yuan and A.J. Strojwas.
Modeling Optical Microscope Images of Integrated-Circuit Structures.
J.Opt.Soc.Am., A8(5):778-790, May 1991.

187
K.D. Lucas, H. Tanabe, and A.J. Strojwas.
Efficient and Rigorous Three-Dimensional Model for Optical Lithography Simulation.
J.Opt.Soc.Am., A13(11):2187-2199, November 1996.

188
K.D. Lucas and A.J. Strojwas.
A New Vector 2D Photolithography Simulation Tool.
In Int.Electron Devices Meeting, pp. 177-180, 1992.

189
C.M. Yuan.
Calculation of One-Dimensional Lithographic Aerial Images Using the Vector Theory.
IEEE Trans.Electron Devices, 40(9):1604-1613, September 1993.

190
K.D. Lucas, A.J. Strojwas, and H. Tanabe.
Efficient 3D Phase Shifting Mask Lithography Simulation.
In Proc.SPIE Optical/Laser Microlithography VIII, vol. 2440, pp. 422-434, 1995.

191
J. Bischoff.
Die Anwendung der Geometrischen Theorie der Beugung auf die Fotolithografiesimulation unebener Substratoberflächen.
Dissertation, Technische Hochschule Illmenau, Illmenau, Germany, July 1991.

192
J. Bischoff, U. Glaubitz, and N. Haase.
New Method of Topography Simulation in Photolithography.
In Proc.SPIE Optical/Laser Microlithography V, vol. 1674, pp. 423-434, 1992.

193
J.B. Keller.
Geometrical Theory of Diffraction.
J.Opt.Soc.Am., 52(2):116-130, February 1962.

194
M.S. Yeung and A.R. Neureuther.
Improvement of the Physical-Optics Approximation for Topography Simulation in Optical Lithography.
In Proc.SPIE Optical/Laser Microlithography VI, vol. 1927, pp. 833-846, 1993.

195
M.S. Yeung and A.R. Neureuther.
Three-Dimensional Reflective-Notching Simulation Using Multipole Accelerated Physical-Optics Approximation.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2440, pp. 395-409, 1995.

196
E. Wolf and E.W. Marchand.
Comparison of the Kirchhoff and the Rayleigh-Sommerfeld Theories of Diffraction at an Aperture.
J.Opt.Soc.Am., 54(5):587-594, May 1964.

197
S.K. Chang and K.K. Mei.
Generalized Sommerfeld Integrals and Field Expansions in Two-Medium Half-Spaces.
IEEE Trans.Antenn.Propagat., AP-28(4):504-512, July 1980.

198
V. Rokhlin.
Rapid Solution of Integral Equations of Scattering Theory in Two Dimensions.
J.Comput.Phys., 86:414-439, 1990.

199
N. Engheta, W.D. Murphy, V. Rokhlin, and M.S. Vassiliou.
The Fast Multipole Method (FMMM) for Electromagnetic Scattering Problems.
IEEE Trans.Antenn.Propagat., 40(6):634-641, June 1992.

200
U.M. Ascher, R.M.M. Mattheij, and R.D. Russell.
Numerical Solution of Boundary Value Problems for Ordinary Differential Equations.
Classics in Applied Mathematics Vol. D. SIAM, Philadelphia, PA, 1995.

201
R.M.M. Mattheij and G.W.M. Staarink.
An Efficient Algorithm for Solving General Linear Two-Point BVP.
SIAM J.Sci.Stat.Comput., 5(4):745-763, December 1984.

202
G.H. Golub and C.F. Van Loan.
Matrix Computations.
John Hopkins University Press, Baltimore, MD, 3 rd ed., 1996.

203
H.J. Dirschmid.
Mathematische Grundlagen der Elektrotechnik.
Vieweg Verlag, Braunschweig, Germany, 1986.

204
J.M. Shaw, M.A. Frisch, and F.H. Dill.
Thermal Analysis of Positive Photoresist by Mass Spectrometry.
IBM J.Res.Dev., 21(3):219-226, May 1977.

205
C.A. Mack and R.T. Carbak.
Modeling the Effects of Prebake on Positive Resist Processing.
In Proc.Kodak Microelectronics Seminar, pp. 155-158, 1985.

206
S. Selberherr.
Analysis and Simulation of Semiconductor Devices.
Springer, Vienna, Austria, 1984.

207
The SAMPLE Group (A.R. Neureuther).
SAMPLE User Guide, v. 1.8a.
University of California, Berkeley, CA, June 1991.

208
O.D. Crisalle, S.R. Keifling, D.E. Seborg, and D.A. Mellichamp.
A Comparison of the Optical Projection Lithography Simulators SAMPLE and PROLITH.
IEEE Trans.Semicond.Manufact., 5(1):14-26, February 1992.

209
A.R. Neureuther and W.G. Oldham.
Simulation of Lithography, ch. 3, pp. 71-106.
W.L. Engl, ed., Elsevier, North-Holland, The Netherlands, 1986.

210
C.A. Mack.
Development of Positive Photoresists.
J.Electrochem.Soc., 134(1):148-152, January 1987.

211
R.E. Jewett, P.I. Hagouel, A.R. Neureuther, and T. Van Duzer.
Line-Profile Resist Development Simulation Techniques.
Polymer Engineering and Science, 17:381-384, 1977.

212
R. Jewett.
A String Model Etching Algorithm.
Master's thesis, University of California, Berkeley, CA, 1979.

213
K.K.H. Toh, A.R. Neureuther, and E.W. Scheckler.
Algorithms for Simulation of Three-Dimensional Etching.
IEEE Trans.Computer-Aided Design, 13(5):616-624, May 1994.

214
W.G. Oldham, A.R. Neureuther, C. Sung, J.L. Reynolds, and S.N. Nandgaonkar.
A General Simulator for VLSI Lithography and Etching Processes: Part II--Application to Deposition and Etching.
IEEE Trans.Electron Devices, ED-27(8):1455-1459, August 1980.

215
A.R. Neureuther.
IC Process Modeling and Topography Design.
Proc.IEEE, 71(1):121-128, January 1983.

216
J.J. Helmsen, E.W. Scheckler, A.R. Neureuther, and C.H. Séquin.
An Efficient Loop Detection and Removal Algorithm for 3D Surface-Based Lithography Simulation.
In Proc.NUPAD'92--International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits, pp. 3-8, 1992.

217
K.K.H. Toh.
Algorithms for Three-Dimensional Simulation of Photoresist Development.
Dissertation, University of California, Berkeley, CA, December 1990.

218
E.W. Scheckler.
Algorithms for Three-Dimensional Simulation of Etching and Deposition Processes in Integrated Circuit Fabrication.
Dissertation, University of California, Berkeley, CA, November 1991.

219
E.W. Scheckler and A.R. Neureuther.
Models and Algorithms for Three-Dimensional Topography Simulation with SAMPLE-3D.
IEEE Trans.Computer-Aided Design, 13(2):219-230, February 1994.

220
S. Osher and J.A. Sethian.
Fronts Propagating with Curvature Dependent Speed: Algorithms Based on Hamilton-Jacobi Formulation.
J.Comput.Phys., 79:12-49, 1988.

221
J.A. Sethian.
A Fast Marching Level Set Method for Propagating Interfaces.
Proc.Nat. Acad.Sci., 93(4):1591-1595, 1996.

222
J.A. Sethian and D. Adalsteinsson.
An Overview of Level Set Methods for Etching, Deposition, and Lithography Development.
IEEE Trans.Semicond.Manufact., 10(1):167-184, February 1997.

223
D. Adalsteinsson and J.A. Sethian.
A Unified Level Set Approach to Etching, Deposition and Lithography I: Algorithms and Two-Dimensional Simulations.
J.Comput.Phys., 120(1):128-144, August 1995.

224
D. Adalsteinsson and J.A. Sethian.
A Unified Level Set Approach to Etching, Deposition and Lithography II: Three-Dimensional Simulations.
J.Comput.Phys., 122(1):348-366, November 1995.

225
D. Adalsteinsson and J.A. Sethian.
A Unified Level Set Approach to Etching, Deposition and Lithography III: Complex Simulations and Multiple Effects.
J.Comput.Phys., 138(1):193-223, November 1997.

226
J.A. Sethian.
Fast Marching Level Set Methods for Three-Dimensional Photolithography Development.
In Proc.SPIE Optical/Laser Microlithography IX, vol. 2726, pp. 262-272, 1996.

227
J.C. Rey, J. Li, V. Boksha, D. Adalsteinsson, and J.A. Sethian.
Topography Simulation for Interconnect Deposition.
Solid-State Technol., pp. 77-82, February 1998.

228
J.A. Sethian.
Level Set Methods: Evolving Interfaces in Geometry, Fluid Mechanics, Computer Vision and Material Science.
Cambridge University Press, New York, 1996.

229
E.W. Scheckler, N.N. Tam, A.K. Pfau, and A.R. Neureuther.
An Efficient Volume-Removal Algorithm for Practical Three-Dimensional Lithography Simulation with Experimental Verification.
IEEE Trans.Computer-Aided Design, 12(9):1345-1356, September 1993.

230
E. Strasser, K. Wimmer, and S. Selberherr.
A New Method for Simulation of Etching and Deposition Processes.
In Proc.VPAD'93--International Workshop on VLSI Process and Device Modeling, pp. 54-55, 1993.

231
E. Strasser and S. Selberherr.
A General Simulation Method for Etching and Deposition Processes.
In Proc.SISDEP'93--Simulation of Semiconductor Processes and Devices, pp. 357-360, 1993.

232
E. Strasser, G. Schrom, K. Wimmer, and S. Selberherr.
Accurate Simulation of Pattern Transfer Processes Using Minkowski Operations.
IEICE Trans.Electronics, E77-C:92-97, 1994.

233
E. Strasser.
Simulation von Topographieprozessen in der Halbleiterfertigung.
Dissertation, Technical University Vienna, Austria, November 1994.

234
E. Strasser and S. Selberherr.
Algorithms and Models for Cellular Based Topography Simulation.
IEEE Trans.Computer-Aided Design, 14(9):1104-1114, September 1995.

235
C.R. Giardina and E.R. Dougherty.
Morphological Methods in Image and Signal Processing.
Prentice Hall, Englewood Cliffs, NJ, 1988.

236
N. Shiraishi, S. Hirukawa, Y. Takeuchi, and N. Magome.
New Imaging Technique for 64M-DRAM.
In Proc.SPIE Optical/Laser Microlithography V, vol. 1674, pp. 741-752, 1992.

237
W.N. Partlo, P.J. Tompkins, P.G. Dewa, and P.F. Michaloski.
Depth of Focus and Resolution Enhancement for I-Line and Deep-UV Lithography Using Annular Illumination.
In Proc.SPIE Optical/Laser Microlithography VI, vol. 1927, pp. 137-157, 1993.

238
A.K. Pfau, W.G. Oldham, and A.R. Neureuther.
Exploration of Fabrication Techniques for Phase-Shifting Masks.
In Proc.SPIE Optical/Laser Microlithography IV, vol. 1463, pp. 124-134, 1991.

239
T. Waas, H. Eisenmann, H. Hartmann, and W. Henke.
Automatic Generation of Phase Shift Mask Layouts.
Microelectronic Engineering, 23:139-142, 1994.

240
K. Ronse, R. Pforr, R. Jonckkheere, and L. Van den Hove.
Optimization of the Optical Phase Shift in Attenuated PSM and Application to Quarter Micron Deep-UV Lithography for Logics.
In Proc.SPIE Optical/Laser Microlithography VII, vol. 2197, pp. 86-98, 1994.

241
C. Kaanta, W. Cote, J. Cronin, K. Holland, P.I. Lee, and T. Wright.
Submicron Wiring Technology with Tungsten and Planarization.
In Int.Electron Devices Meeting, pp. 209-304, 1987.

242
R. Martins and S. Selberherr.
Layout Data in TCAD Frameworks.
In Proc.ESM'96--European Simulation Multiconference, pp. 1122-1126, 1996.

243
S. Halama, F. Fasching, C. Fischer, H. Kosina, E. Leitner, P. Lindorfer, Ch. Pichler, H. Pimingstorfer, H. Puchner, G. Rieger, G. Schrom, T. Simlinger, M. Stiftinger, H. Stippel, E. Strasser, W. Tuppa, K. Wimmer, and S. Selberherr.
The Viennese Integrated System for Technology CAD Applications.
Microelectronics Journal, 26(2/3):137-158, 1995.

244
G. Rieger.
Ein graphischer Editor für Entwurf von Halbleiterbauteilen.
Dissertation, Technical University Vienna, Austria, May 1996, (in German).

245
N. Khalil.
ULSI Characterization with Technology Computer-Aided Design.
Dissertation, Technical University Vienna, Austria, May 1995.

246
R. Plasun, Ch. Pichler, T. Simlinger, and S. Selberherr.
Optimization Tasks in Technology CAD.
In Proc.ESS'97--9 th European Simulation Symposium, pp. 445-449, 1997.

247
S. Halama, Ch. Pichler, G. Rieger, G. Schrom, T. Simlinger, and S. Selberherr.
VISTA--User Interface, Task Level, and Tool Integration.
IEEE Trans.Computer-Aided Design, 14(10):1208-1222, October 1995.

248
Ch. Pichler.
Integrated Semiconductor Technology Analysis.
Dissertation, Technical University Vienna, Austria, March 1997.

249
J. Goodman.
Statistical Optics.
John Wiley, New York, 1985.

250
A. Geist, A. Beguelin, J. Dongarra, W. Jiang, R. Manchek, and V. Sunderam.
PVM: Parallel Virtual Machine--A Users' Guide and Tutorial for Networked Parallel Computing.
MIT Press, Cambridge, MA, 1994.

251
See the WWW at http://www.netlib.org/pvm3/index.html.

252
M. Radi, E. Leitner, E. Hollensteiner, and S. Selberherr.
Analytical Partial Differential Equation Modeling Using AMIGOS.
In Proc.IASTED--International Conference Artificial Intelligence and Soft Computing, pp. 423-426, 1997.

253
M. Radi, E. Leitner, E. Hollensteiner, and S. Selberherr.
AMIGOS: Analytical Model Interface & General Object-Oriented Solver.
In Proc.SISPAD'97--Simulation of Semiconductor Processes and Devices, pp. 331-334, 1997.


Heinrich Kirchauer, Institute for Microelectronics, TU Vienna
1998-04-17