next up previous contents
Next: List of Publications Up: Dissertation R.L. de Orio Previous: 6. Conclusion and Outlook

Bibliography

1
H. B. Huntington and A. R. Grone, ``Current-Induced Marker Motion in Gold Wires,'' J. Phys. Chem. Solids, vol. 20, no. 1, pp. 76-87, 1961.

2
J. Noguchi, T. Oshima, T. Matsumoto, S. Uno, and K. Sato, ``Multilevel Interconnect with Air-Gap Structure for Next-Generation Interconnections,'' IEEE Trans. Electron. Dev., vol. 56, no. 11, pp. 2675-2682, 2009.

3
J. R. Lloyd, J. Clemens, and R. Snede, ``Copper Metallization Reliability,'' Microelectron. Reliab., vol. 39, pp. 1595-1602, 1999.

4
C. K. Hu and J. M. E. Harper, ``Copper Interconnections and Reliability,'' Mater. Chem. Phys., vol. 52, pp. 5-16, 1998.

5
International Technology Roadmap for Semiconductors, 2010.

6
P. R. Justison, ``Analysis of Electromigration in Single- and Dual-Inlaid Cu Interconnects,'' Dissertation, The University of Texas at Austin, 2003.

7
F. L. Wei, C. L. Gan, T. L. Tan, C. S. Hau-Riege, A. P. Marathe, J. J. Vlassak, and C. V. Thompson, ``Electromigration-Induced Extrusion Failures in Cu/Low-k Interconnects,'' J. Appl. Phys., vol. 104, p. 023529, 2008.

8
L. M. Gignac, C.-K. Hu, and E. G. Liniger, ``Correlation of Electromigration Lifetime Distribution to Failure Mode in Dual-Damascene Cu/SiLK Interconnects,'' Microelectron. Eng., vol. 70, pp. 398-405, 2003.

9
T. Matrieb, P. A. Flinn, J. C. Bravman, D. Gardner, and M. C. Madden, ``Observations of Electromigration Induced Void Nucleation and Growth in Polycristalline and Near-Bamboo Passivated Al Lines,'' J. Appl. Phys., vol. 78, no. 2, pp. 1026-1032, 1995.

10
Z. S. Choi, R. Mönig, and C. V. Thompson, ``Effects of Microstructure on the Formation, Shape, and Motion of Voids during Electromigration in Passivated Copper Interconnects,'' J. Mater. Res., vol. 23, no. 2, pp. 383-391, 2008.

11
P. R. Besser, M. C. Madden, and P. A. Flinn, ``In Situ Scanning Electron Microscopy Observation of the Dynamic Behavior of Electromigration Voids in Passivated Aluminum Lines,'' J. Appl. Phys., vol. 72, no. 8, pp. 3792-3797, 1992.

12
J. P. Gambino, T. C.Lee, F. Chen, and T. D. Sullivan, ``Reliability Challenges for Advanced Copper Interconnects: Electromigration and Time-Dependent Dielectric Breakdown (TDDB),'' Proc. Intl. Symp. on the Physical and Failure Analysis of Integrated Circuits, pp. 677-684, 2009.

13
C.-K. Hu, L. M. Gignac, and R. Rosenberg, ``Electromigration of Cu/Low Dielectric Constant Interconnects,'' Microelectron. Reliab., vol. 46, pp. 213-231, 2006.

14
C.-K. Hu, D. Canaperi, S. T. Chen, L. M. Gignac, B. Herbst, and S. Kaldor, ``Effects of Overlayers on Electromigration Reliability Improvement for Cu/Low-k Interconnects,'' Proc. Intl. Reliability Physics Symp., pp. 222-227, 2004.

15
O. Dubreuil, M. Cordeau, T. Mourier, P. Chausse, M. Mellier, D. Bellet, and J. Torres, ``Characterization of Copper Grain Growth Limitations Inside Narrow Wires Depending of Overburden Thickness,'' Microelectron. Eng., vol. 85, pp. 1988-1991, 2008.

16
B. Yao, T. Sun, V. Kumar, K. Barmak, and K. R. Coffey, ``Grain Growth and Void Formation in Dielectric-Encapsulated Cu Thin Films,'' J.  Mater. Res., vol. 23, no. 7, pp. 2033-2039, 2008.

17
G. C. Schwartz and K. V. Srikrishnan, ``Metallization,'' in Handbook of Semiconductor Interconnection Technoogy, edited by G. C. Schwartz and K. V. Srikrishnan, pp. 311-383, 2006.

18
C.-K. Hu, L. M. Gignac, B. Baker, E. G. Liniger, and R. Yu, ``Impact of Cu Microstructure on Electromigration Reliability,'' Proc. Intl. Interconnect Technology Conf., pp. 93-95, 2007.

19
A. von Glasow, ``Zuverlässigkeitsaspekte von Kupfermetallisierungen in Integrierten Schaltungen,'' Dissertation, Technische Universität München, 2005.

20
M. Hauschildt, ``Statistical Analysis of Electromigration Lifetimes and Void Evolution in Cu Interconnects,'' Dissertation, The University of Texas at Austin, 2005.

21
E. T. Ogawa, K.-D. Lee, V. A. Blaschke, and P. S. Ho, ``Electromigration Reliability Issues in Dual-Damascene Cu Interconnections,'' IEEE Trans. on Reliability, vol. 51, no. 4, pp. 403-419, 2002.

22
M. Hauschildt, M. Gall, S. Thrasher, P. Justison, R. Hernandez, H. Kawasaki, and P. S. Ho, ``Statistical Analysis of Electromigration Lifetimes and Void Evolution,'' J. Appl. Phys., vol. 101, p. 043523, 2007.

23
J. Lloyd and J. Kitchin, ``The Electromigration Failure Distribution: The Fine-Line Case,'' J. Appl. Phys., vol. 69, no. 4, pp. 2117-2127, 1991.

24
B. Li, C. Christiansen, J. Gill, R. Filippi, T. Sullivan, and E. Yashchin, ``Minimum Void Size and 3-Parameter Lognormal Distribution for EM Failures in Cu Interconnects,'' Proc. Intl. Reliability Physics Symp., pp. 115-122, 2006.

25
S. C. Lee and A. S. Oates, ``Identification and Analysis of Dominant Electromigration Failure Modes in Copper/Low-k Dual-Damascene Interconnects,'' Proc. Intl. Reliability Physics Symp., pp. 107-114, 2006.

26
M. W. Lane, E. G. Liniger, and J. R. Lloyd, ``Relationship Between Interfacial Adhesion and Electromigration in Cu Metallization,'' J. Appl. Phys., vol. 93, no. 3, pp. 1417-1421, 2003.

27
M. Y. Yan, J. O. Suh, F. Ren, K. N. Tu, A. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy, ``Effect of Cu$ _3$Sn Coatings on Electromigration Lifetime Improvement of Cu Dual-Damascene Interconnects,'' Appl. Phys. Lett., vol. 87, p. 211103, 2005.

28
E. Zschech, H. J. Engelmann, M. A. Meyer, V. Kahlert, A. V. Vairagar, S. G. Mhaisalkar, A. Krishnamoorthy, M. Yan, K. N. Tu, and V. Sukharev, ``Effect of Interface Strength on Electromigrationinduced Inlaid Copper Interconnect degradation: Experiment and Simulation,'' Z. Metallkunde, vol. 96, no. 9, pp. 966-971, 2005.

29
N. D. McCusker, H. S. Gamble, and B. M. Armstring, ``Surface Electromigration in Copper Interconnects,'' Microelectron. Reliab., vol. 40, pp. 69-76, 2000.

30
H. Kang, I. Asano, C. Ryu, and S. Wong, ``Grain Structure amd Electromigration Properties of CVD Cu Metallization,'' Proc. Intl. VLSI Multilevel Interconnection Conf., pp. 223-229, 1993.

31
A. V. Vairagar, S. G. Mhaisalkar, A. Krishnamoorthy, K. N. Tu, A. M. Gusak, M. A. Meyer, and E. Zschech, ``In Situ Observation of Electromigration-Induced Void Migration in Dual-Damascene Cu Interconnect Structures,'' Appl. Phys. Lett., vol. 85, no. 13, pp. 2502-2504, 2004.

32
A. V. Vairagar, S. G. Mhaisalkar, M. A. Meyer, E. Zschech, A. Krishnamoorthy, K. N. Tu, and A. M. Gusak, ``Direct Evidence of Electromigration Failure Mechanism in Dual-Damascene Cu Interconnect Tree Structures,'' Appl. Phys. Lett., vol. 87, no. 081909, 2005.

33
W. Shao, S. G. Mhaisalkar, T. Sritharan, A. V. Vairagar, H. J. Engelmann, O. Aubel, E. Zschech, A. M. Gusak, and K. N. Tu, ``Direct Evidence of Cu/Cap/Liner Edge Being the Dominant Electromigration Path in Dual-Damascene Cu Interconnects,'' Appl. Phys. Lett., vol. 90, no. 052106, 2007.

34
J. Proost, T. Hirato, T. Furuhara, and J.-P. Celis, ``Microtexture and Electromigration-Induced Drift in Electroplated Damascene Cu,'' J. Appl. Phys., vol. 87, no. 6, pp. 2792-2802, 2000.

35
G. B. Alers, X. Lu, J. H. Sukamoto, S. K. Kailasam, J. Reid, and G. Harm, ``Ifluence of Copper Purity on Microstructure and Electromigration,'' Proc. Intl. Interconnect Technology Conf., pp. 45-47, 2004.

36
C. Ryu, k. W. Kwon, A. L. S. Loke, H. Lee, T. Nogami, V. M. Dubin, R. A. Kavari, G. W. Ray, and S. S. Wong, ``Microstructure and Reliability of Copper Interconnects,'' IEEE Trans. Electron. Dev., vol. 46, no. 6, pp. 1113-1120, 1999.

37
J. Y. Kim, ``Investigation on the Mechanism of Interface Electromigration in Copper Thin Films,'' Dissertation, The University of Texas at Arlington, 2006.

38
Z. S. Choi, R. Mönig, and C. V. Thompson, ``Dependence of the Electromigration Flux on the Crystallographic Orientations of Different Grains in Polycrystalline Copper Interconnects,'' Appl. Phys. Lett., vol. 90, p. 241913, 2007.

39
M. Karimi, T. Tomkowski, G. Vidali, and O. Biham, ``Diffusion of Cu on Cu Surfaces,'' Phys. Rev. B, vol. 52, no. 7, pp. 5364-5374, 1995.

40
H. Wendrock, K. Mirpuri, S. Menzel, G. Schindler, and K. Wetzig, ``Correlation of Electromigration Deffects in Small Damascene Cu Interconnects with their Microstructure,'' Microelectron. Eng., vol. 82, pp. 660-664, 2005.

41
T. G. Koetter, H. Wendrock, H. Schuehrer, C. Wenzel, and K. Wetzig, ``Relationship between Microstructure and Electromigration Damage in Unpassivated PVD Copper Damascene Interconnects,'' Microelectron. Reliab., vol. 40, pp. 1295-1299, 2000.

42
O. Kraft and E. Arzt, ``Current Density and Line Width Effects in Electromigration: A New Damage-Based Lifetime Model,'' Acta Mater., vol. 46, no. 11, pp. 3733-3743, 1998.

43
C. T. W.R. Fayad, V.K. Andleigh, ``Modeling of the Effects of Crystallographic Orientation on Electromigration-Limited Reliability of Interconnects with Bamboo Grain Structures,'' J. Mater. Res., vol. 16, no. 2, pp. 413-416, 2001.

44
M. Gall, C. Capasso, D. Jawarani, R. Hernandez, H. Kawasaki, and P. S. Ho, ``Statistical Analysis of Early Failures in Electromigration,'' J. Appl. Phys., vol. 90, no. 2, pp. 732-740, 2001.

45
J. R. Black, ``Mass Transport of Aluminum by Momentum Exchange with Conducting Electrons,'' Proc. of 6th Annual Reliability Physics Symp., pp. 148-159, 1967.

46
J. R. Black, ``Electromigration-A Brief Survey and Some Recent Results,'' IEEE Trans. Electron. Dev., vol. 16, no. 4, pp. 338-347, 1969.

47
J. R. Black, ``Electromigration Failure Modes in Aluminum Metallization for Semiconductor Devices,'' Proc. IEEE, vol. 57, no. 9, pp. 1578-1594, 1969.

48
J. C. Blair, P. G. Ghate, and C. T. Haywood, ``Concerning Electromigration in Thin Films,'' Proc. IEEE, vol. 59, pp. 1023-1024, 1971.

49
G. L. Hofman and H. M. Breitling, ``On the Current Density Dependence of Electromigration in Thin Films,'' Proc. IEEE, vol. 58, p. 833, 1970.

50
M. Shatzkes and J. Lloyd, ``A Model for Conductor Failure Considering Diffusion Concurrently with Electromigration Resulting in a Current Exponent of 2,'' J. Appl. Phys., vol. 59, no. 11, pp. 3890-3893, 1986.

51
J. Lloyd, ``Electromigration Failure,'' J. Appl. Phys., vol. 69, no. 11, pp. 7601-7604, 1991.

52
R. Kirchheim and U. Kaeber, ``Atomistic and Computer Modeling of Metallization Failure of Integrated Circuits by Electromigration,'' J. Appl. Phys., vol. 70, no. 1, pp. 172-181, 1991.

53
R. Kirchheim, ``Stress and Electromigration in Al-Lines of Integrated Circuits,'' Acta Metall. Mater., vol. 40, no. 2, pp. 309-323, 1992.

54
M. A. Korhonen, P. Borgesen, K. N. Tu, and C.-Y. Li, ``Stress Evolution due to Electromigration in Confined Metal Lines,'' J. Appl. Phys., vol. 73, no. 8, pp. 3790-3799, 1993.

55
J. J. Clement and C. V. Thompson, ``Modeling Electromigration-Induced Stress Evolution in Confined Metal Lines,'' J. Appl. Phys., vol. 78, no. 2, pp. 900-904, 1995.

56
J. R. Lloyd, ``Black's Law Revisited - Nucleation and Growth in Electromigration Failure,'' Microelectron. Reliab., vol. 47, pp. 1468-1472, 2007.

57
Z. S. Choi, R. Mönig, and C. V. Thompson, ``Activation Energy and Prefactor for Surface Electromigration and Void Drift in Cu Interconnects,'' J. Appl. Phys., vol. 102, p. 083509, 2007.

58
R. S. Sorbello, ``Microscopic Driving Forces for Electromigration,'' Proc. Mater. Research Soc. Symp., vol. 427, pp. 73-81, 1996.

59
M. E. Glicksman, Diffusion in Solids.John Wiley and Sons, Inc., 2000.

60
J. R. Lloyd, ``Electromigration in Thin Film Conductors,'' Semicond. Sci. Technol., vol. 12, pp. 1177-1185, 1997.

61
K. N. Tu, ``Electromigration in Stressed Thin Films,'' Phys. Rev. B, vol. 45, no. 3, pp. 1409-1413, 1992.

62
R. S. Sorbello, A. Lodder, and S. J. Hoving, ``Finite-Cluster Description of Electromigration,'' Phys. Rev. B, vol. 25, no. 10, pp. 6178-6187, 1982.

63
R. S. Sorbello, ``Theory of the Direct Force in Electromigration,'' Phys. Rev. B, vol. 31, no. 2, pp. 798-804, 1985.

64
P. R. Rimbey and R. S. Sorbello, ``Strong-Coupling Theory for the Driving Force in Electromigration,'' Phys. Rev. B, vol. 21, no. 6, pp. 2150-2161, 1980.

65
P. S. Ho and T. Kwok, ``Electromigration in Metals,'' Rep. Prog. Phys., vol. 52, no. 3, pp. 301-348, 1989.

66
J. P. Dekker, A. Lodder, and J. van Ek, ``Theory for the Electromigration Wind Force in Dilute Alloys,'' Phys. Rev. B, vol. 56, no. 19, pp. 12167-12177, 1997.

67
A. Lodder and J. P. Dekker, ``The Electromigration Force in Metallic Bulk,'' Proc. of the Stress Induced Phenomena in Metallization: 4th International Workshop, vol. 418, pp. 315-329, 1998.

68
J. J. Clement, ``Electromigration Modeling for Integrated Circuit Interconnect Reliability Analysis,'' IEEE Trans. Dev. Mat. Rel., vol. 1, no. 1, pp. 33-42, 2001.

69
A. S. Budiman, C. S.Hau-Riege, P. R. Besser, A. Marathe, Y.-C. Joo, N. Tamura, J. R. Patel, and W. D. Nix, ``Plasticity-Amplified Diffusivity: Dislocation Cores as Fast Diffusion Paths in Cu Interconnects,'' Proc. Intl. Reliability Physics Symp., pp. 122-127, 2007.

70
E. G. Liniger, L. M. Gignac, C.-K. Hu, and S. Kaldor, ``In Situ Study of Void Growth Kinetics in Electroplated Cu Lines,'' J. Appl. Phys., vol. 92, no. 4, pp. 1803-1810, 2002.

71
L. Arnaud, T. Berger, and G. Reimbold, ``Evidence of Grain-Boundary Versus Interface Diffusion in Electromigration Experiments in Copper Damascene Interconnects,'' J. Appl. Phys., vol. 93, no. 1, pp. 192-204, 2003.

72
R. Rosenberg and M. Ohring, ``Void Formation and Growth During Electromigration in Thin Films,'' J. Appl. Phys., vol. 42, no. 13, pp. 5671-5679, 1971.

73
J. R. Lloyd and K. P. Rodbell, ``Reliability,'' in Handbook of Semiconductor Interconnection Technoogy, edited by G. C. Schwartz and K. V. Srikrishnan, pp. 471-520, 2006.

74
J. R. Lloyd and J. J. Clement, ``Electromigration in Copper Conductors,'' Thin Solid Films, vol. 262, no. 1, pp. 135-141, 1995.

75
I. A. Blech, ``Electromigration in Thin Aluminum Films on Titanium Nitride,'' J. Appl. Phys., vol. 47, no. 4, pp. 1203-1208, 1976.

76
I. A. Blech and C. Herring, ``Stress Generation by Electromigration,'' Appl. Phys. Lett., vol. 29, no. 3, pp. 131-133, 1976.

77
I. A. Blech and K. L. Tai, ``Measurement of Stress Gradients Generated by Electromigration,'' Appl. Phys. Lett, vol. 30, no. 8, pp. 387-389, 1977.

78
C. Herring, ``Diffusional Viscosity of a Polycrystalline Solid,'' J. Appl. Phys., vol. 21, pp. 437-445, 1950.

79
E. T. Ogawa, A. J. Bierwag, K.-D. Lee, H. Matsuhashi, P. R. Justinson, and et al., ``Direct Observation of a Critical Length Effect in Dual-Damascene Cu/Oxide Interconnects,'' Appl. Phys. Lett., vol. 78, no. 18, pp. 2652-2645, 2001.

80
D. Ney, X. Federspiel, V. Girault, O. Thomas, and P. Gergaud, ``Stress-Induced Electromigration Backflow Effect in Copper Interconnects,'' Trans. Dev. Mater. Reliab., vol. 6, no. 2, pp. 175-180, 2006.

81
L. Doyen, E. Petitprez, P. Waltz, X. Federspiel, L. Arnaud, and Y. Wouters, ``Extensive Analysis of Resistance Evolution due to Electromigration Induced Degradation,'' J. Appl. Phys., vol. 104, p. 123521, 2008.

82
A. S. Oates and M. H. Lin, ``Void Nucleation and Growth Contributions to the Critical Current Density for Failure in Cu Vias,'' Proc. Intl. Reliability Physics Symp., pp. 452-456, 2009.

83
J. R. Lloyd, ``Electromigration and Mechanical Stress,'' Microelectron. Eng., vol. 49, pp. 51-64, 1999.

84
R. W. Balluffi and A. V. Granato, ``Dislocations, Vacancies and Interstitials,'' in Dislocation in Solids, edited by F. N. R. Nabarro, pp. 1-133, 1979.

85
J. J. Clement, ``Reliability Analysis for Encapsulated Interconnect Lines Under DC and Pulsed DC Current Using a Continuum Electromigration Transport Model,'' J. Appl. Phys., vol. 82, no. 12, pp. 5991-6000, 1997.

86
P. G. Shewmon, Diffusion in Solids.McGraw-Hill, New York, 1963.

87
H. S. Carslaw and J. C. Jaeger, Conduction of Heat in Solids.Clarendon Press, Oxford, 1947.

88
P. A. Flinn, ``Mechanical Stress in VLSI Interconnections: Origins, Effects, Measurement, and Modeling,'' MRS Bulletin, pp. 70-73, 1995.

89
R. J. Gleixner, B. M. Clemens, and W. D. Nix, ``Void Nucleation in Passivated Interconnect Lines: Effects of Site Geometries, Interfaces, and Interface Flaws,'' J. Mater. Res., vol. 12, pp. 2081-2090, 1997.

90
G. L. Povirk, ``Numerical Simulations of Electromigration and Stress-Driven Diffusion in Polycristalline Interconnects,'' Proc. Mater. Research Soc. Symp., vol. 473, pp. 337-342, 1997.

91
S. Rzepka, M. A. Korhonen, E. R. Weber, and C.-Y. Li, ``Three-Dimensional Finite Element Simulation of Electro and Stress Migration Effects in Interconnect Lines,'' Proc. Mater. Research Soc. Symp., vol. 473, pp. 329-335, 1997.

92
M. E. Sarychev, Y. V. Zhitnikov, L. Borucki, C.-L. Liu, and T. M. Makhviladze, ``General Model for Mechanical Stress Evolution During Electromigration,'' J. Appl. Phys., vol. 86, no. 6, pp. 3068-3075, 1999.

93
H. Ye, C. Basaran, and D. C. Hopkins, ``Numerical Simulation of Stress Evolution During Electromigration in IC Interconnect Lines,'' IEEE Trans. Compon. Pack. Technol., vol. 26, no. 3, pp. 673-681, 2003.

94
M. Lin and C. Basaran, ``Electromigration Induced Stress Analysis Using Fully Coupled Mechanical-Diffusion Equations with Nonlinear Material Properties,'' Computational Materials Science, vol. 34, pp. 82-98, 2005.

95
C. Basaran and M. Lin, ``Damage Mechanics of Electromigration in Microelectronics Copper Interconnects,'' Intl. J. Materials and Structural Integrity, vol. 1, pp. 16-39, 2007.

96
R. V. Goldstein, M. E. Sarychev, D. B. Shirabaikin, A. S. Vladimirov, and Y. V. Zhitnikov, ``Modeling Electromigration and the Void Nucleation in Thin-Film Interconnects of Integrated Circuits,'' Intl. Journal of Fracture, vol. 109, pp. 91-121, 2001.

97
V. Sukharev, E. Zschech, and W. D. Nix, ``A Model for Electromigration-Induced Degradation Mechanisms in Dual-Inlaid Copper Interconnects: Effect of Microstructure,'' J. Appl. Phys., vol. 102, p. 053505, 2007.

98
V. Sukharev, R. Choudhury, and C. W. Park, ``Physically-Based Simulation of the Early and Long-Term Failures in Copper Dual-Damascene Interconnects,'' Proc. Intl. Integrated Reliability Workshop, pp. 80-85, 2003.

99
V. Sukharev and E. Zschech, ``A Model for Electromigration-Induced Degradation Mechanisms in Dual-Inlaid Copper Interconnects: Effect of Interface Bonding Strenght,'' J. Appl. Phys., vol. 96, no. 11, pp. 6337-6343, 2004.

100
V. Sukharev, ``Physically Based Simulation of Electromigration-Induced Degradation Mechanisms in Dual-Damascene Copper Interconnects,'' IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 9, pp. 1326-1335, 2005.

101
D. Dalleau and K. Weide-Zaage, ``Three-Dimensional Voids Simulation in Chip Metallization Structures: A Contribution to Reliability Evaluation,'' Microelectron. Reliab., vol. 41, pp. 1625-1630, 2001.

102
D. Dalleau, K. Weide-Zaage, and Y. Danto, ``Simulation of Time Depending Void Formation in Copper, Aluminum and Tungsten Plugged Via Metallization Structures,'' Microelectron. Reliab., vol. 43, pp. 1821-1826, 2003.

103
P. J. Marcoux, P. P. Merchant, V. Naroditsky, and W. D. Rehder, ``A New 2d Simulation Model of Electromigration,'' Hewlett-Packard Journal, pp. 79-84, 1989.

104
J. J. Clement and J. R. Lloyd, ``Numerical Investigations of the Electromigration Boundary Value Problem,'' J. Appl. Phys., vol. 71, no. 4, pp. 1729-1731, 1992.

105
W. D. Nix and E. Arzt, ``On Void Nucleation and Growth in Metal Interconnect Lines under Electromigration Conditions,'' Metall. Trans. A, vol. 23, pp. 2007-2013, 1992.

106
D. Hull and D. E. Rimmer, ``The Growth of Grain-Boundary Voids under Stress,'' Phil. Mag., vol. 4, pp. 673-687, 1959.

107
J. E. Harris, ``Nucleation of Creep Cavities in Magnesium,'' Trans. Met. AIME, vol. 233, pp. 1509-1516, 1965.

108
R. Raj and M. F. Ashby, ``Intergranular Fracture at Elevated Temperatures,'' Acta Metall., vol. 23, pp. 653-666, 1975.

109
J. P. Hirth and W. D. Nix, ``Analysis of Cavity Nucleation in Solids Subjected to External and Internal Stresses,'' Acta Metall., vol. 33, pp. 359-368, 1985.

110
H. Ceric, R. L. de Orio, J. Cervenka, and S. Selberherr, ``A Comprehensive TCAD Approach for Assessing Electromigration Reliability of Modern Interconnects,'' IEEE Trans. Mat. Dev. Rel., vol. 9, no. 1, pp. 9-19, 2009.

111
J. W. Christian, The Theory of Transformations in Metal and Alloys.3rd ed., Pergamon, 2002.

112
B. M. Clemens, R. J. Gleixner, and W. D. Nix, ``Void Nucleation On a Contaminated Patch,'' J. Mater. Res., vol. 12, pp. 2038-2042, 1997.

113
S. P. Hau-Riege, ``Probabilistic Immortality of Cu Damascene Interconnects,'' J. Appl. Phys, vol. 91, no. 4, pp. 2014-2022, 2002.

114
A. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy, ``Electromigration Behavior of Dual-Damascene Cu Interconnects - Structure, Width, and Length Dependences,'' Microelectron. Reliab., vol. 44, pp. 747-754, 2004.

115
W. W. Mullins, ``Mass Transport at Interfaces in Single Component Systems,'' Metall. Mater. Trans. A, vol. 26, pp. 1918-1929, 1995.

116
D. N. Bhate, A. Kumar, and A. F. Bower, ``Diffuse Interface Model for Electromigration and Stress Voiding,'' J. Appl. Phys., vol. 87, no. 4, pp. 1712-1721, 2000.

117
D. N. Bhate, A. F. Bower, and A. Kumar, ``A Phase Field Model for Failure in Interconnect Lines due to Coupled Diffusion Mechanisms,'' J. Mech. Phys. Solids, vol. 50, pp. 2057-2083, 2002.

118
P. S. Ho, ``Motion of Inclusion Induced by a Direct Current and a Temperature Gradient,'' J. Appl. Phys., vol. 41, no. 1, pp. 64-68, 1970.

119
Z. Suo, W. Wang, and M. Yang, ``Electromigration Instability: Transgranular Slits in Interconnects,'' Appl. Phys. Lett., vol. 64, no. 15, pp. 1944-1946, 1994.

120
Z. Suo and W. Wang, ``Diffusive Void Bifurcation in Stressed Solid,'' J. Appl. Phys., vol. 76, no. 6, pp. 3410-3421, 1994.

121
W. Wang, Z. Suo, and T.-H. Hao, ``A Simulation of Electromigration-Induced Transgranular Slits,'' J. Appl. Phys., vol. 79, no. 5, pp. 2394-2403, 1996.

122
T. V. Zaporozhets, A. M. Gusak, K. N. Tu, and S. G. Mhaisalkar, ``Diffuse Interface Model for Electromigration and Stress Voiding,'' J. Appl. Phys., vol. 98, p. 103508, 2005.

123
D. T. Castro, R. J. O. Hoofman, J. Michelon, D. J. Gravesteijn, and C. Bruynseraede, ``Void Growth Modeling upon Electromigration Stressing in Narrow Copper Lines,'' J. Appl. Phys., vol. 102, p. 123515, 2007.

124
E. Arzt, O. Kraft, W. D. Nix, and J. J. E. Sanchez, ``Electromigration Failure by Shape Change of Voids in Bamboo Lines,'' J. Appl. Phys., vol. 76, no. 3, pp. 1563-1571, 1994.

125
O. Kraft and E. Arzt, ``Electromigration Mechanisms in Conductor Lines: Void Shape Changes and Slit-Like Failure,'' Acta Mater., vol. 45, no. 4, pp. 1599-1611, 1997.

126
L. Xia, A. F. Bower, Z. Suo, and C. F. Shih, ``A Finite Element Analysis of the Motion and Evolution of Voids due to Strain and Electromigration Induced Surface Diffusion,'' J. Mech. Phys. Solids, vol. 45, no. 9, pp. 1473-1493, 1997.

127
D. R. Fridline and A. F. Bower, ``Influence of Anisotropic Surface Diffusivity on Electromigration Induced Void Migration and Evolution,'' J. Appl. Phys., vol. 85, no. 6, pp. 3168-3174, 1999.

128
A. F. Bower and S. Shankar, ``Finite Element Model of Electromigration Induced Void Nucleation, Growth and Evolution in Interconnects,'' Modelling Simul. Mater. Sci. Eng., vol. 15, pp. 923-940, 2007.

129
H. Ceric and S. Selberherr, ``An Adaptive Grid Approach for the Simulation of Electromigration Induced Void Migration,'' IEICE Trans. Electronics, no. 3, pp. 421-426, 2002.

130
H. Ceric and S. Selberherr, ``Simulative Prediction of the Resistance Change due to Electromigration Induced Void Evolution,'' Microelectron. Reliab., vol. 42, pp. 1457-1460, 2002.

131
H. Ceric, R. Sabelka, S.Holzer, W.Wessner, S. Wagner, T. Grasser, and S. Selberherr, ``The Evolution of the Resistance and Current Density During Electromigration,'' Proc. Intl. Conf. on Simulation of Semiconductor Processes and Devices, pp. 331-334, 2004.

132
M. Mahadevan and R. M. Bradley, ``Simulations and Theory of Electromigration-Induced Slit Formation in Unpassivated Single-Crystal Metal Lines,'' Phys. Rev. B, vol. 59, no. 16, pp. 11037-11046, 1999.

133
M. Mahadevan and R. M. Bradley, ``Phase Field Model of Surface Electromigration in Single Crystal Metal Thin Films,'' Physica D, vol. 126, pp. 201-213, 1999.

134
J. A. Sethian, Level Set Methods and Fast Marching Methods: Evolving Interfaces in Computational Geometry, Fluid Mechanics, Computer Vision and Materials Science.Cambridge University Press, 1999.

135
M. Khenner, A. Averbuch, M. Israeli, M. Nathan, and E. Glickman, ``Level Set Modeling of Transient Electromigration Grooving,'' Comp. Mater. Sci., vol. 20, pp. 235-250, 2001.

136
M. Khenner, A. Averbuch, M. Israeli, and M. Nathan, ``Numerical Simulation of Grain-Boundary Grooving by Level Set Method,'' J. Comp. Phys., vol. 170, pp. 764-784, 2001.

137
F. Cacho, V. Fiori, L. Doyen, C. Chappaz, C. Tavernier, and H. Jaouen, ``Electromigration Induced Failure Mechanism: Multiphysics Model and Correlation with Experiments,'' Proc. Intl. Conf. on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Micro-Systems, pp. 1-6, 2008.

138
H. Ceric, R. L. de Orio, J. Cervenka, and S. Selberherr, ``Copper Microstructure Impact on Evolution of Electromigration Induced Voids,'' Proc. Intl. Conf. on Simulation of Semiconductor Processes and Devices, pp. 178-181, 2009.

139
K. Garikipati, L. Bassman, and M. Deal, ``A Lattice-Based Micromechanical Continuum Formulation for Stress-Driven Mass Transport in Polycrystalline Solids,'' J. Mech. Phys. Solids, vol. 49, pp. 1209-1237, 2001.

140
C. K. Hu, R. Rosenberg, and K. Y. Lee, ``Electromigration Path in Cu Thin-Film Lines,'' Appl. Phys. Lett., vol. 74, no. 20, pp. 2945-2947, 1999.

141
S. H. Rhee, Y. Du, and P. S. Ho, ``Thermal Stress Characteristics of Cu/Oxide and Cu/Low-k Submicron Interconnect Structures,'' J. Appl. Phys., vol. 93, no. 7, pp. 3926-3933, 2003.

142
P. H. Dederichs and K. Schroeder, ``Anisotropic Diffusion in Stress Fields,'' Phys. Rev. B, vol. 17, no. 6, pp. 2524-2536, 1978.

143
C. P. Flynn, Point Defects and Diffusion.Clarendon Press, Oxford, 1972.

144
D. A. Porter and K. E. Easterling, Phase Transformations in Metals and Alloys.Stanley Thornes (Publishers) Ltd, 2000.

145
M. Diebel and S. T. Dunham, ``Ab-initio Calculations to Predict Stress Effects on Defects and Diffusion in Silicon,'' Proc. Intl. Conf. on Simulation of Semiconductor Processes and Devices, pp. 147-150, 2003.

146
C. Kittel, Introduction to Solid State Physics.John Wiley and Sons, Inc., 1996.

147
H. Ceric, R. Heinzl, C. Hollauer, T. Grasser, and S. Selberherr, ``Microstructure and Stress Aspects of Electromigration Modeling,'' Proc. of the Stress Induced Phenomena in Metallization: 8th International Workshop, pp. 262-268, 2006.

148
R. W. Balluffi, ``Grain Boundary Diffusion Mechanisms in Metals,'' Metall. Trans. A, vol. 13, pp. 2069-2095, 1982.

149
J. C. Fisher, ``Calculation of Diffusion Penetration Curves for Surface and Grain Boundary Diffusion,'' J. Appl. Phys., vol. 22, no. 1, pp. 74-77, 1951.

150
F. Lau, C. Mazure, C. Werner, and M. Orlowski, ``A Model for Phosphorus Segregation at the Silicon-Silicon Dioxide Interface,'' Appl. Phys. A, vol. 49, pp. 671-675, 1989.

151
O. C. Zienkiewicz and R. L. Taylor, The Finite Element Method for Solid and Structural Mechanics. Elsevier Butterworth Heinemann, 2005.

152
C. Johnson, Numerical Solution of Partial Differential Equations by The Finite Element Method.Cambridge University Press, 1987.

153
R. E. White, An Introduction to The Finite Element Method with Applications to Nonlinear Problems.John Wiley and Sons, Inc., 1985.

154
P. Knabner and L. Angermann, Numerik partieller Differential-gleichungen. Springer, 2000.

155
H. Ceric, ``Numerical Techniques in Modern TCAD,'' Dissertation, Technische Universität Wien, 2005.

156
C. Hollauer, ``Modeling of Thermal Oxidation and Stress Effects,'' Dissertation, Technische Universität Wien, 2007.

157
W. Li, M. Tan, and Y. Hou, ``Dynamic Simulation of Electromigration in Polycrystalline Interconnect Thin Film Using Combined Monte Carlo Algorithm and Finite Element Modeling,'' J. Appl. Phys., vol. 101, p. 104314, 2007.

158
W. Li and M. Tan, ``Enhanced Finite Element Modeling of Cu Electromigration Using Ansys and Matlab,'' Microelectron. Reliab., vol. 47, pp. 1497-1501, 2007.

159
L. Doyen, X. Federspiel, L. Arnaud, F. Terrier, Y. Wouters, and V. Girault, ``Electromigration Multistress Pattern Technique for Copper Drift Velocity and Black's Parameters Extraction,'' Proc. Intl. Integrated Reliability Workshop, pp. 74-78, 2007.

160
S. R. de Groot, ``Theorie Phenomenologique de L'Effet Soret,'' Physica, no. 7, pp. 699-707, 1942.

161
J.-M. Paik, H. Park, and Y.-C. Joo, ``Effect of Low-k Dielectric on Stress-Induced Damage in Cu Interconnects,'' Microelectron. Eng., vol. 71, pp. 348-357, 2004.

162
T. Suzuki, S. O. A. Yamanoue, T. Hosoda, T. Khono, Y. Matsuoka, K. Yanai, H. Matsuyama, H. Mori, N. Shimizu, T. Nakamura, S. Sugatani, K. Shono, and H. Yagi, ``Stress Induced Failure Analysis by Stress Measurements in Copper Dual Damascene Interconnects,'' Proc. Intl. Interconnect Technology Conf., pp. 229-230, 2002.

163
M. Y. Yan, K. N. Tu, A. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy, ``Confinement of Electromigration Induced Void Propagation in Cu Interconnect by a Buried Ta Diffusion Barrier Layer,'' Appl. Phys. Lett., vol. 87, p. 261906, 2005.

164
M. A. Meyer, M. Herrmann, E. Langer, and E. Zschech, ``In Situ SEM Observation of Electromigration Phenomena in Fully Embedded Copper Interconnect Structures,'' Microelectron. Eng., vol. 64, pp. 375-382, 2002.

165
E. T. Ogawa, J. W. McPherson, J. A. Rosal, K. J. Dickerson, T. C. Chiu, L. Y. Tsung, M. K. Jain, T. D. Bonifiled, J. C. Ondrusek, and W. R. McKee, ``Stress-Induced Voiding under Vias Connected to Wide Cu Metal Leads,'' Proc. Intl. Reliability Physics Symp., pp. 312-321, 2002.

166
H. Matsuyama, M. Shiozu, T. Kouno, T. Suzuki, H. Ehara, S. Otsuka, T. Hosoda, T. Nakamura, Y. Mizushima, M. Miyajima, and K. Shono, ``New Degradation Phenomena of Stress-Induced Voiding Inside Via in Copper Interconnects,'' Proc. Intl. Reliability Physics Symp., pp. 638-639, 2007.

167
K. Yoshida, T. Fujimaki, T. Miyamoto, T. Honma, H. Kaneko, H. Nakazawa, and M. Morita, ``Stress-Induced Voiding Phenomena for an Actual CMOS LSI Interconnects,'' Digest Intl. Electron Devices Meeting, pp. 753-756, 2002.

168
M. R. Sorensen, Y. Mishin, and A. F. Voter, ``Diffusion Mechanisms in Cu Grain Boundaries,'' Phys. Rev. B, vol. 62, no. 6, pp. 3658-3673, 2000.


next up previous contents
Next: List of Publications Up: Dissertation R.L. de Orio Previous: 6. Conclusion and Outlook

R. L. de Orio: Electromigration Modeling and Simulation