next up previous contents
Next: Curriculum Vitae Up: PhD Thesis Helmut Puchner Previous: 5 Future Work

Literatur

Ada88
L.M. ADAMS, R.J. LEVEQUE, AND D.M. YOUNG. Analysis of the SOR Iteration for the 9-Point Laplacian. SIAM J.Numer.Anal., Vol. 25, No. 5, 1988, pp. 1156-1180.

Adj91
S.A. ADJURA AND R.REIF. Early Stage Evolution Kinetics of the polysilicon/single-crystal Silicon Interfacial Oxide upon Annealing. J.Appl.Phys., Vol. 69, No. 2, 1991, pp. 662-667.

Aro96
S. ARONOWITZ, J. KIMBALL, P. NEARY, AND H. PUCHNER. Channeled Phosphorus and Co-implanted Low Dose Silicon as Probes for Transient Enhanced Effects on Activation and Diffusion. J.Appl.Phys., 1996. to appear.

Bac88a
Ed. by G. BACCARANI AND M. RUDAN. Simulation of Semiconductor Devices and Processes, Bologna, 1988, Vol. 3, Tecnoprint.

Bac88b
B. BACCUS, D. COLLARD, E. DUBOIS, AND D. MOREL. IMPACT4 -- A General Two-Dimensional Multilayer Process Simulator. In: Baccarani and Rudan [Bac88a], pp. 255-266.

Bac92a
B. BACCUS. Impact of Low-Temperature Transient-Enhanced Diffusion of Dopants in Silicon. Solid-State Electron., Vol. 35, No. 8, 1992, pp. 1045-1049.

Bac92b
B. BACCUS. A Study of Nonequilibrium Diffusion Modeling - Applications to Rapid Thermal Annealing and Advanced Bipolar Technologies. IEEE Trans.Electron Devices, Vol. 39, No. 3, 1992, pp. 648-661.

Bac93
B. BACCUS AND E. VANDENBOSSCHE. Boron Diffusion at high Concentrations during Predeposition Processes. In Proceedings: Process Physics and Modeling in Semiconductor Technology, 1993, The Electrochemical Society, pp. 75-87.

Bac95
B. BACCUS AND E. VANDENBOSSCHE. Modeling High Concentration Boron Diffusion with Dynamic Clustering: Influence of the Initial Conditions. Microelectronics Journal, Vol. 26, 1995, pp. 235 - 242.

Ban80
R.E. BANK AND D.J. ROSE. Parameter Selection for Newton-like Methods Applicable to Nonlinear Partial Differential Equations. SIAM J.Numer.Anal., Vol. 17, No. 6, 1980, pp. 806-822.

Ban81
R.E. BANK AND D.J. ROSE. Global Approximate Newton Methods. Numer.Math., Vol. 37, 1981, pp. 279-295.

Ban94
R.E. BANK. PLTMG: A Software Package for Solving Elliptic Partial Differential Equations, Vol. 15 of Frontiers in Applied Mathematics. SIAM, Philadelphia, 1994. Users' Guide 7.0.

Bar87
A. BARTHEL, J. LORENZ, AND H. RYSSEL. Monte-Carlo Ion Implantation and COMPOSITE. In Proceedings: 17th European Solid State Device Research Conference - ESSDERC'87, Bologna, 1987, Ed. by P.U. CALZOLARI AND G. SONCINI, Tecnoprint, pp. 457-460.

Bar89
E. BAROUCH, B. BRADIE, AND S.V. BABU. A Three-Dimensional Profile Modeling Algorithm for Positive Photoresists. J.Vac.Sci.Technol.B, Vol. 7, 1989, pp. 1766-1770.

Bie84
J.P. BIERSACK AND W. ECKSTEIN. Sputtering Studies with the Monte Carlo Program TRIM.SP. Appl. Phys., Vol. A 34, 1984, pp. 73-94.

Boa86
Ed. by K. BOARD AND D.R.J. OWEN. Simulation of Semiconductor Devices and Processes, Swansea, 1986, Vol. 2, Pineridge Press.

Boh95
W. BOHMAYR AND S. SELBERHERR. Trajectory Split Method for Monte Carlo Simulation of Ion Implantation Demonstrated by Three-Dimensional Poly-Buffered LOCOS Field Oxide Corners. In Proceedings: 1995 Int. Symp. on VLSI Technology, Systems, and Applications, Taipei, Taiwan, 1995, pp. 104-107.

Bro87
G.B. BRONNER AND J.D. PLUMMER. Gettering of Gold in Silicon: A Tool for Understanding the Properties of Silicon. Appl.Phys.Lett., Vol. 61, No. 12, 1987, pp. 5286-5288.

Bur89
J. BURGHARTZ. Self-Aligned Bipolar NPN Transistors with 60nm Epitaxial Base. In Proceedings: Int.Electron Devices Meeting, 1989, pp. 229-232.

Chi82
K.Y. CHIU, J.L. MOLL, AND J. MANOLIU. A Bird's Beak Free Local Oxidation Technology Feasible for VLSI Circutis Fabrication. IEEE Trans.Electron Devices, Vol. 29, No. 4, 1982, pp. 536-540.

Chi83
D. CHIN, S.Y. OH, S.M. HU, R.W. DUTTON, AND J.L. MOLL. Two-Dimensional Oxidation. IEEE Trans.Electron Devices, Vol. 30, No. 7, 1983, pp. 744-749.

Cow90a
N.E. COWERN, K.T. JANSSEN, AND H.F. JOS. Transient Diffusion of Ion-Implanted B in Si: Dose, Time, and Matrix Dependence of atomic and electrical Profiles. J.Appl.Phys., Vol. 68, No. 12, 1990, pp. 6191-6189.

Cow90b
N.E. COWERN, H.F. JOS, K.T. JANSSEN, AND A.J. WACHTERS. Anormalous Transient Diffusion of Boron in Silicon: Kinetic Modeling of Diffusion and Cluster Formation. In Proceedings: Materials Research Symposion Proceedings, 1990, Vol. 163, Materials Research Society, pp. 605-608.

Cow91
N.E. COWERN, G. VAN DE WALLE, D.J. GRAVESTIJN, AND C.J. VRIEZEMA. Experiments on Atomic-Scale Mechanisms of Diffusion. Physical Review Letters, Vol. 67, No. 2, 1991, pp. 212 -215.

Cow93
N.E. COWERN. Transient Diffusion of Dopants in Silicon: Physics, Modeling and practical Simulation. In Proceedings: Process Physics and Modeling in Semiconductor Technology, 1993, The Electrochemical Society, pp. 20-33.

Dan86
S. DANNEFAER. High Temperature Investigations of Silicon by Means of Positron Annihilation. In Proceedings: Defects in Semiconductors ICDS-14, 1986, pp. 103-113.

Dea65
B.E. DEAL AND A.S. GROVE. General Relationship for the Thermal Oxidation of Silicon. J. Appl. Phys., Vol. 36, No. 12, 1965, pp. 3770-3778.

Deu74
P. DEUFLHARD. A Modified Newton Method for the Solution of Ill-Conditioned Systems of Nonlinear Equations with Application to Multiple Shooting. Numer.Math., Vol. 22, 1974, pp. 289-315.

Duf83
M. DUFFY, J. MCGINN, J. SHAW, R.SMITH, R.SOLTIS, AND G. HARBEKE. LPCVD Polycrystalline Silicon: Growth and Physical Properties of Diffusion-Doped, Ion-Implanted, and Undoped Films. RCA Review, Vol. 44, 1983, pp. 313-325.

Dun91
S.T. DUNHAM AND R.A. MEADE. Point Defect Models for High Concentration Phosphorus Diffusion. In Proceedings: 2nd Int. Symp. Process Physics and Modeling in Semiconductor Technology, 1991, pp. 287-303.

Dun92
S.T. DUNHAM. A Quantitative Model for the Coupled Diffusion of Phosphorus and Point Defects in Silicon. J. Electrochem. Soc., Vol. 139, No. 9, 1992, pp. 2628-2636.

Duv88
S.G. DUVALL. An Interchange Format for Process and Device Simulation. IEEE Trans.Computer-Aided Design, Vol. 7, No. 7, 1988, pp. 741-754.

Egu93
Y. EGUCHI, M. ISLAMRAJA, J.P. MCVITTIE, AND K. SARASWAT. Profile Modeling of Physical Vapor Deposition of Ti and tex2html_wrap_inline6561 . In Proceedings: Symp. on Process Physics and Modeling in Semicond.Technol., 1993, pp. 301-309.

Eis81
S.C. EISENSTAT, M.H. SCHULTZ, AND A.H. SHERMAN. Algorithms and Data Structures for Sparse Symmetric Gaussian Elimination. SIAM J.Sci.Stat.Comput., Vol. 2, No. 2, 1981, pp. 225-237.

EK95
B. EL-KAREH. Fundamentals of Semiconductor Processing Technologies. Kluwer, 1995.

Fah83
P. FAHEY, R.W. DUTTON, AND M. MOSLEHI. Effect of thermal nitridation processes on boron and phosphorus in ;SPMlt;100;SPMgt; silicon. Applied Physics Letters, Vol. 43, No. 7, 1983, pp. 683-685.

Fah89
P.M. FAHEY, P.B. GRIFFIN, AND J.D. PLUMMER. Point Defects and Dopant Diffusion in Silicon. Review of Modern Physics, Vol. 61, No. 2, 1989, pp. 289-384.

Fai77
R.B. FAIR AND J.C.C. TSAI. A Quantitative Model for the Diffusion of Phosphorus in Silicon and the Emitter Dip Effect. J.Electrochem.Soc., Vol. 124, No. 7, 1977, pp. 1107-1117.

Fai81
R.B. FAIR. Concentration Profiles of Diffused Dopants in Silicon. In: Impurity Doping Processes in Silicon. North Holland, Amsterdam, 1981, pp. 315-442.

Far83
A. FARGEIX AND G. GHIBAUDO. Dry Oxidation of Silicon: A New Model of Growth Including Relaxation of Stress by Viscous Flow. J. Appl. Phys., Vol. 54, No. 12, 1983, pp. 7153-7158.

Fas91
F. FASCHING, C. FISCHER, S. HALAMA, H. PIMINGSTORFER, H. READ, S. SELBERHERR, H. STIPPEL, W. TUPPA, P. VERHAS, AND K. WIMMER. A New Open Technology CAD System. In Proceedings: 21st European Solid State Device Research Conference - ESSDERC'91, Montreux, Switzerland, 1991, Vol. 15 of Microelectr.Eng., Ed. by M. ILEGEMS AND M. DUTOIT, Elsevier, pp. 217-220.

Fas94a
F. FASCHING. The Viennese Integrated System for Technology CAD Applications-Data Level Design and Implementation. Dissertation, Technische Universität Wien, 1994.

Fas94b
F. FASCHING, W. TUPPA, AND S. SELBERHERR. VISTA-The Data Level. IEEE Trans.Computer-Aided Design, Vol. 13, No. 1, 1994, pp. 72-81.

Fis94
C. FISCHER AND S. SELBERHERR. Optimum Scaling of Non-Symmetric Jacobian Matrices for Threshold Pivoting Preconditioners. In Proceedings: Int. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits NUPAD V, Honolulu, 1994, pp. 123-126.

Fra83
A.F. FRANZ, G.A. FRANZ, S. SELBERHERR, C. RINGHOFER, AND P. MARKOWICH. Finite Boxes - A Generalization of the Finite Difference Method Suitable for Semiconductor Device Simulation. IEEE Trans.Electron Devices, Vol. ED-30, No. 9, 1983, pp. 1070-1082.

Fra84
W. FRANK, U. GöSELE, H. MEHRER, AND A. SEEGER. Diffusion in Silicon and Germanium. In Proceedings: Diffusion in Crystalline Solids, New York, 1984, Academic Press, pp. 63-142.

Fur72
S. FURUKAWA, H. MATSUMURA, AND H. ISHIWARA. Theoretical Considerations on Lateral Spread of Implanted Ions. Jap.J.Appl.Phys., Vol. 11, No. 2, 1972, pp. 134-142.

Gha95
K. GHADERI AND G. HOBLER. Simulation of Phoshorus Diffusion in Silicon Using a Pair Diffusion Model with a Reduced Number of Parameters. Journal of the Electrochemical Society, Vol. 142, No. 5, 1995, pp. 1654-1658.

Gib73
J.F. GIBBONS AND S. MYLROIE. Estimation of Impurity Profiles in Ion-Implanted Amorphous Targets Using Joined Half Gaussian Distributions. Appl. Phys. Lett., Vol. 22, No. 11, 1973, pp. 568-569.

Gil86a
M.D. GILES. Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling. In: Board and Owen [Boa86], pp. 233-246.

Gil86b
M.D. GILES. Ion Implantation Calculations in Two Dimensions Using the Boltzmann Transport Equation. IEEE Trans.Computer-Aided Design, Vol. 5, No. 4, 1986, pp. 679-684.

Gil88
M.D. GILES. Ion Implantation. In: VLSI Technology, Ed. by S.M. SZE. 2nd Edition, McGraw-Hill, 1988, pp. 327-374.

Gil91
M.D. GILES. Transient Phosphorus Diffusion Below the Amorphization Threshold. In Proceedings: 2nd Int. Symp. Process Physics and Modeling in Semiconductor Technology, 1991, pp. 273-286.

Gre79
J.A. GREENWOOD, J.M. LANDWEHR, N.C. MATALAS, AND J.R. WALLIS. Probability Weighted Moments: Definition and Relation to Parametes of several Distributions expressible in inverse Form. Water Resources Research, Vol. 15, 1979, pp. 1049-1054.

Gue82
E. GUERRERO, H. PöTZL, R. TIELERT, M. GRASSERBAUER, AND G. STINGEDER. Generalized Model for the Clustering of AS Dopants in Si. J.Electrochem.Soc., Vol. 129, No. 8, 1982, pp. 1826-1831.

Gui87
N. GUILLEMOT, G. PANANAKAKIS, AND P. CHENEVIER. A New Analytical Model of the ``Bird's Beak. IEEE Trans.Electron Devices, Vol. 34, No. 5, 1987, pp. 1033-1038.

Hal93
S. HALAMA, F. FASCHING, C. FISCHER, H. KOSINA, E. LEITNER, CH. PICHLER, H. PIMINGSTORFER, H. PUCHNER, G. RIEGER, G. SCHROM, T. SIMLINGER, M. STIFTINGER, H. STIPPEL, E. STRASSER, W. TUPPA, K. WIMMER, AND S. SELBERHERR. The Viennese Integrated System for Technology CAD Applications. In Proceedings: Technology CAD Systems, 1993, Ed. by F. FASCHING, S. HALAMA, AND S. SELBERHERR, Springer, pp. 197-236.

Hal95
S. HALAMA, F. FASCHING, C. FISCHER, H. KOSINA, E. LEITNER, P. LINDORFER, CH. PICHLER, H. PIMINGSTORFER, H. PUCHNER, G. RIEGER, G. SCHROM, T. SIMLINGER, M. STIFTINGER, H. STIPPEL, E. STRASSER, W. TUPPA, K. WIMMER, AND S. SELBERHERR. The Viennese Integrated System for Technology CAD Applications. Microelectronics Journal, Vol. 26, No. 2/3, 1995, pp. 137-158.

Han93
M. HANE AND S. HASEGAWA. Dynamic-clustering and Grain-growth Kinetics Effects on Dopant Diffusion in Polysilicon. In: Poly-Si,Grain-growth [VPA93], pp. 52-53.

Har83
G. HARBEKE, L. KRAUSBAUER, E. STEIGMEIER, A.WIDMER, H. KAPPERT, AND G. NEUGEBAUER. LPCVD Polycrystalline Silicon: Growth and Physical Properties of In-Situ Phosphorus Doped and Undoped Films. RCA Review, Vol. 44, 1983, pp. 287-312.

Har84
G. HARBEKE, L. KRAUSBAUER, E. STEIGMEIER, A.WIDMER, H. KAPPERT, AND G. NEUGEBAUER. Growth and Physical Properties of LPCVD Polycrystalline Silicon Films. J. Electrochem. Soc., Vol. 131, No. 3, 1984, pp. 675-682.

Hei92
O. HEINREICHSBERGER, M. STIFTINGER, S. SELBERHERR, AND K.P. TRAAR. Fast Iterative Solution of Carrier Continuity Equations for Three-Dimensional Device Simulation. SIAM J.Sci.Stat.Comput., Vol. 13, No. 1, 1992, pp. 289-306.

Heu89
Ed. by A. HEUBERGER, H. RYSSEL, AND P. LANGE. Proc. 19th European Solid State Device Research Conference - ESSDERC'89, Berlin, 1989, Springer.

Hob86
G. HOBLER, E. LANGER, AND S. SELBERHERR. Two-Dimensional Modeling of Ion Implantation. In: Board and Owen [Boa86], pp. 256-270.

Hob87
G. HOBLER, E. LANGER, AND S. SELBERHERR. Two-Dimensional Modeling of Ion Implantation with Spatial Moments. Sol.-State Electron., Vol. 30, No. 4, 1987, pp. 445-455.

Hob88
G. HOBLER AND S. SELBERHERR. Two-Dimensional Modeling of Ion Implantation Induced Point Defects. IEEE Trans.Computer-Aided Design, Vol. 7, No. 2, 1988, pp. 174-180.

Hob95
G. HOBLER, A. SIMIONESCU, L. PALMETSHOFER, F. JAHNEL, R. VON CRIEGERN, C. TIAN, AND G. STINGEDER. Verification of Models for the Simulation of Boron Implantation into Crystalline Silicon. In Proceedings: 3rd Int. Workshop on Measurement and Characterization of Ultra-Shallow Doping Profiles in Semiconductors, 1995, Ed. by J. EHRSTEIN, R. MATHUR, AND G. MCGUIRE, pp. 14.1-14.9.

Hof75a
W.K. HOFKER. Concentration Profiles of Boron Implanted in Amorphous and Polycrystalline Silicon. Philips Res. Rep., Vol. Suppl. 8, 1975, pp. 41-57.

Hof75b
W.K. HOFKER. Determination of Moments of Experimental Range Distributions of Boron Implanted in Silicon. Rad. Eff., Vol. 25, 1975, pp. 205-206.

Hos89
J.R HOSKING. The Theory of Probability Weighted Moments. Technical Report RC-12210, IBM Thomas J. Watson Research Center, Yorktown Heights, NY, 1989.

Hos91
J.R. HOSKING. Fortran Routines for Use with the Method of L-Moments, Version 2. Technical Report RC-17097, IBM Thomas J. Watson Research Center, Yorktown Heights, NY, 1991.

Hov87
L. VAN DEN HOVE, R. WOLTERS, K. MAEX, R.F. DE KEERSMAECKER, AND G.J. DECLERCK. A Self-Aligned CoSi tex2html_wrap_inline6567 Interconnection and Contact Technology. IEEE Trans.Electron Devices, Vol. 34, No. 3, 1987, pp. 554-561.

Hov89
L. VAN DER HOVE, K. MAEX, L. HOBBS, P. LIPPENS, R. DE KEERSMAECKER, V. PROBST, AND H. SCHABER. Comparison Between CoSi tex2html_wrap_inline6567 and TiSi tex2html_wrap_inline6567 as Dopant Source for Shallow Silicided Junction Formation. Appl. Surf. Sci., Vol. 38, 1989, pp. 430-440.

Hu85
S.M. HU. Modeling Diffusion in Silicon: Accomplishments and Challenges. In Proceedings: 3 tex2html_wrap_inline6573 Int. Symp. VLSI Science and Technology, 1985.

Hün90
R. HüNLICH, U. KRAUSE, R. MODEL, A. POMP, H. STEPHAN, N. STRECKER, AND S. UNGER. Der 2D-Technologiesimulator DIOS. In Proceedings: 6. Sym. Physikalische Grundlagen zu Bauelementtechnologien und Mikroelektronik, Frankfurt/Oder, 1990, pp. 1-14.

Ins94
VISTA Material Data Base: PIF Application Interface, Reference Manual V 1.0. INSTITUE FOR MICROELECTRONICS, TU VIENNA. A-1040 Vienna, Austria, 1994.

Ire83
E.A. IRENE. Silicon Oxidation Studies: A Revised Model for Thermal Oxidation. J. Appl. Phys., Vol. 54, No. 9, 1983, pp. 5416-5420.

Jah81
F. JAHNEL, H. RYSSEL, G. PRINKE, K. HOFFMANN, K. MüLLER, J. BIERSACK, AND R. HENKELMANN. Description of Arsenic and Boron Profiles Implanted in SiO tex2html_wrap_inline6567 , Si tex2html_wrap_inline6577 N tex2html_wrap_inline6579 and Si Using Pearson Distributions with Four Moments. Nucl. Instr. Meth., Vol. 182/183, 1981, pp. 223-229.

Jai75
R.K. JAIN AND R.J. VANOVERSTRAETEN. Accurate Theoretical Arsenic Diffusion Profiles in Silicon from Processing Data. J. Electrochem. Soc., Vol. 122, No. 4, 1975, pp. 552-557.

Jew75
R. JEWETT, P. HAGOUEL, A.R. NEUREUTHER, AND T. VAN DUZER. Line-profile resist development simulation techniques. Polymer Engineering and Science., Vol. 17, No. 6, 1975, pp. 381-384.

Jon81
F. JONES AND J. PARASZCZAK. RD3D (computer simulation of resist development in three dimensions). IEEE Trans.Electron Devices, Vol. 28, 1981, pp. 1544-1552.

Jon88
S.K. JONES AND C. HILL. Modelling Dopant Diffusion in Polysilicon. In: Baccarani and Rudan [Bac88a], pp. 441-447.

Jon90
S.K. JONES. Modelling Diffusion in and from Polysilicon Layers. Material Research Soc., Vol. 182, 1990, pp. 129-140.

Jon91
S.K. JONES AND A. GERODOLLE. 2D Process Simulation of Dopant Diffusion in Polysilicon. In Proceedings: NASECODE VII Transactions, 1991, Vol. VII, Ed. by J.MILLER, pp. 31-32.

Jop93
W. JOPPICH AND S. MIJALKOVIC. Multigrid Methods for Process Simulation. Springer, 1993.

Jün86
W. JüNGLING. Entwicklung und Auswertung verbesserter Modelle für die Prozeß- und Bauelementesimulation. Dissertation, Technische Universität Wien, 1986.

Kal90
S. KALAINATHAN, R. DHANASEKARAN, AND P. RAMASAMY. Grain Size and Size Distribution in Heavily Phosphorus doped Polycrystalline Silicon. Journal of Crystal Growth, Vol. 104, 1990, pp. 250-256.

Kan94
W. KANERT. SIMS Measurements of Polysilicon Outdiffusion Experiments using Arsenic. SIEMENS, München, 1994.

Kas90
M. KASE, M. KIMURA, H. MORI, AND T. OGAWA. Eliminating Channeling Tail by Lower Dose Preimplantation. Appl.Phys.Lett., Vol. 56, No. 13, 1990, pp. 1231-1232.

Kim88
H. KIM AND C. THOMPSON. Kinetic Modeling of Grain Growth in Polycristalline Silicon Films Doped with Phosphorus or Boron. Journal of Electrochemical Society, Vol. 135, No. 9, 1988, pp. 2312-2319.

Kim90
H.J KIM AND C.V. THOMPSON. The Effects of Dopants on Surface-energy-driven Secondary Grain Growth in Silicon Films. J.Appl.Phys., Vol. 67, No. 2, 1990, pp. 757-767.

Kin55
G.H. KINCHIN AND R.S. PEASE. The Displacement of Atoms in Solids by Radiation. Reports on Progress in Physics, Vol. 18, No. 1, 1955, pp. 1-51.

Kin83
E. KINSBRON, M. STERNHEIM, AND R. KNOELL. Crystallization of Amorphous Films during Low Pressure Chemical Vapor Deposition. Appl.Phys.Lett., Vol. 42, No. 9, 1983, pp. 835-837.

Kle91
K.M. KLEIN, C. PARK, A.F. TASCH, R.B. SIMONTON, AND S. NOVAK. Analysis of Implanted Boron Distribution Dependence on Tilt and Rotation Angle. In Proceedings: 2nd Int. Symp. Process Physics and Modeling in Semiconductor Technology, 1991, pp. 107-118.

Kod92
J. KODATE, M. MIYAKE, AND S. KONAKA. Diffusion for Uniforming Ion Implantated As Profile in Polysilicon. Fall Meeting, The Japanese Society of Applied Physics, Vol. 18a-ZT-1, 1992, pp. 718-719.

Kri78
E.F. KRIMMEL, H. OPPOLZER, AND H. RUNGE. Transmission Electron Microscopical Imaging of Lateral Implantation Effects near Mask Edges in B tex2html_wrap_inline4805 Implanted Si Wafers. Revue de Physique Appliquee, Vol. 13, 1978, pp. 791-795.

Lau90
F. LAU. Modelling of Polysilicon Diffusion Sources. In Proceedings: Int.Electron Devices Meeting, 1990, Vol. 90, pp. 737-740.

Lau92
F. LAU. Modeling of Polysilicon Diffusion Sources During Rapid Optical Annealing. Applied Physics A, Vol. 54, 1992, pp. 139-146.

Law88
M.E. LAW AND R.W. DUTTON. Verification of Analytic Point Defect Models Using SUPREM-IV. IEEE Trans.Computer-Aided Design, Vol. 7, No. 2, 1988, pp. 181-190.

Law95
M.E. LAW. Grid Adaption Near Moving Boundaries in Two Dimensions for IC Process Simulation. IEEE Trans.Computer-Aided Design, Vol. 14, No. 10, 1995, pp. 1223-1230.

Lei95
E. LEITNER, W. BOHMAYR, P. FLEISCHMANN, E. STRASSER, AND S. SELBERHERR. 3D TCAD at TU Vienna. In: Lorenz [Lor95], pp. 136-161.

Lin61
J. LINDHARD AND M. SCHARFF. Energy Dissipation by Ions in the keV Region. Phys. Rev., Vol. 124, No. 1, 1961, pp. 128-130.

Lip89
P. LIPPENS, K. MAEX, L. VAN DEN HOVE, AND R. DE KEERSMAECKER. Comparison Between p-Type Dopants for Shallow Junction Formation by Diffusion from an Ion Implanted Silicide. Nucl. Instr. Meth., Vol. B 39, 1989, pp. 330-339.

Lis95
S. LIST. Report on Diffusion in Multilayer Structures. Technical Report Deliverable 41211, ADEQUAT, 1995.

Lor90
J. LORENZ, A. BARTHEL, L. GONG, H. RYSSEL, AND R.J. WIERZBICKI. Analytical Description of Ion Implantation Profiles. Semiconductor Silicon 1990, Vol. 90-7, 1990, pp. 538-549.

Lor95
Ed. by J. LORENZ. 3-Dimensional Process Simulation, 1995, Springer.

Luc92
K. LUCAS AND A. STROJWAS. A New Vector 2D Photography Simulation Tool. In Proceedings: Int.Electron Devices Meeting, 1992, pp. 177-180.

Mac85
C. MACK. PROLITH: A comprehensive optical lithography model. SPIE Optical Microlithography IV, Vol. 538, 1985, pp. 207-220.

Mae89
K. MAEX AND L. VAN DEN HOVE. The Effect of Silicides on the Induction and Removal of Defects in Silicon. Mater. Sci. Eng., Vol. B 4, 1989, pp. 321-329.

Mat85
H. MATSUMOTO AND M. FUKUMA. Numerical Modeling of Nonuniform Si Thermal Oxidation. IEEE Trans.Electron Devices, Vol. 32, No. 2, 1985, pp. 132-140.

Mat93a
D. MATHIOT, A. STRABONI, E. ANDRE, AND P. DEBENEST. Boron Diffusion through thin Gate Oxides: Influence of Nitridation and Effect on the Si/SiO tex2html_wrap_inline6567 Interface Electrical Characteristic. J.Appl.Phys., Vol. 73, No. 12, 1993, pp. 8215-8220.

Mat93b
M.A. MATSUOKA AND S.T. DUNHAM. Dopant Diffusion in Polysilicon. In Proceedings: Third International Symp. on Process Physics and Modeling in Semiconductor Technology, Hawaii,Honolulu, 1993, Ed. by G.R.SRINIVASAN, pp. 88-97.

McV90a
J.P. MCVITTIE, J.C. REY, L.Y. CHENG, A. BARIYA, S. RAVI, AND K. SARASWAT. SPEEDIE: A Profile Simulator for Etching and Deposition. In Proceedings: TECHNOCON, 1990, pp. 16-19.

McV90b
J.P. MCVITTIE, J.C. REY, L.Y. CHENG, M.M. ISLAMRAJA, AND K.C. SARASWAT. LPCVD Profile Simulation Using a Re-Emission Model. In Proceedings: Int.Electron Devices Meeting, 1990, pp. 917-920.

Mei82
L. MEI AND W. DUTTON. A Process Simulation Model for Multilayer Structures Involving Polycrystalline Silicon. IEEE Trans.Electron Devices, Vol. ED-29, No. 11, 1982, pp. 1726-1734.

Mle95
R. MLEKUS, CH. LEDL, E. STRASSER, AND S. SELBERHERR. Polygonal Geometry Reconstruction after Cellular Etching or Deposition Simulation. In: Ryssel and Pichler [Rys95], pp. 50-53.

Moo88
J.S. MOORE AND G.R. SRINIVASAN. Monte Carlo Simulation of Ion Implantation in Crystalline Silicon Using Marlowe. J. Electrochem. Soc., Vol. 135, No. 8, 1988, pp. 2034-2038.

Mor54
F.J. MORIN AND J.P. MAITA. Electrical Properties of Silicon Containing Arsenic and Boron. Physical Review, Vol. 96, No. 1, 1954, p. 28.

Mor83
F. MOREHEAD, N. STOLWIJK, W. MEYBERG, AND U. GöSELE. Self-interstitial and Vancancy Contributions to Silicon Self-diffusion determined from the Diffusion of Gold in Silicon. Appl. Phys. Lett., Vol. 42, 1983, p. 690.

Mul89
B.J. MULVANEY, W.B. RICHARDSON, AND T.L. CRANDLE. PEPPER -- A Process Simulator for VLSI. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 8, No. 4, 1989, pp. 336-349.

Nic89
C.S. NICHOLS, C.G. VAN DE WALLE, AND S.T. PANTELIDES. Mechanisms of Dopant Impurity Diffusion in Silicon. Physical Review B, Vol. 40, No. 8, 1989, p. 5484.

Nob83
D. NOBILI, A. CARABELAS, G. CELOTTI, AND S. SOLMI. Precipitation as the Phenomenon Responsible for the Electrically Inactive Arsenic in Silicon. J. Electrochem. Soc., Vol. 130, No. 4, 1983, pp. 922-928.

Oda88
S. ODANAKA, H. UMIMOTO, M. WAKABAYASHI, AND H. ESAKI. SMART-P: Rigorous Three-Dimensional Process Simulator on a Supercomputer. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 7, No. 6, 1988, pp. 675-683.

Old79
W.G. OLDHAM, S.N. NANDGAONKAR, A.R. NEUREUTHER, AND M. O'TOOLE. A General Simulator for VLSI Lithography and Etching Processes: Part I -- Application to Projection Lithography. IEEE Trans.Electron Devices, Vol. 26, No. 4, 1979, pp. 717-722.

Old80
W.G. OLDHAM, A.R. NEUREUTHER, C. SUNG, J.L. REYNOLDS, AND S.N. NANDGAONKAR. A General Simulator for VLSI Lithography and Etching Processes: Part II -- Application to Deposition and Etching. IEEE Trans.Electron Devices, Vol. 27, No. 8, 1980, pp. 717-722.

O'N88
A. O'NEILL, C. HILL, J. KING, AND C. PLEASE. A New Model for the Diffusion of Arsenic in Polycristalline Silicon. J.Appl.Phys., Vol. 64, No. 1, 1988, pp. 167-174.

Orl92
M. ORLOWSKI, H. TSENG, R. HANCE, AND P. TOBIN. Fractal Network Diffusion of Fluorine and Boron in Polysilicon Gates. In Proceedings: Int. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits NUPAD IV, 1992, pp. 35-40.

Osb93
C. OSBURN, J.TSAI, Q. WANG, J. ROSE, AND A. COWEN. Predict 1.6: Modeling of Metal Silicide Processes. In Proceedings: Process Physics and Modeling in Semiconductor Technology, 1993, The Electrochemical Society, pp. 579-591.

Pac95
P. PACKAN. Simulating Deep Sub-Micron Technologies: An Industrial Perspective. In Proceedings: Simulation of Semiconductor Devices and Processes, 1995, Vol. 6, Ed. by H.RYSSEL, pp. 34-41.

Par90
C. PARK, K.M. KLEIN, AND A.F. TASCH. Efficient Modeling Parameter Extraction for Dual Pearson Approach to Simulation of Implanted Impurity Profiles in Silicon. Sol.-State Electron., Vol. 33, No. 6, 1990, pp. 645-650.

Pen90
J.P. PENG, D. CHIDAMBARRAO, AND G.R. SRINIVASAN. Viscoelastic Modeling of Thermal Oxidation of Silicon. 177th Meeting Electrochem. Soc., 1990, pp. 425-426.

Pic85a
P. PICHLER, W. JüNGLING, S. SELBERHERR, E. GUERRERO, AND H. PöTZL. Simulation of Critical IC-Fabrication Steps. IEEE Trans.Computer-Aided Design, Vol. 4, 1985, pp. 384-397.

Pic85b
P.J. PICHLER. Numerische Simulation kritischer Prozeßschritte in der Halbleitertechnik. Dissertation, Technische Universität Wien, 1985.

Pic90
P. PICHLER AND R. DüRR. ICECREM 4.2 -- Simulation Program for Processing Steps in Semiconductor Production. User's Guide, Fraunhofer Arbeitsgruppe für Integrierte Schaltungen, 1990.

Plu86
J.D. PLUMMER, R.W. DUTTON, J.C. BRAVMAN, B.E. DEAL, C.R. HELMS, K.C. SARASWAT, T. SIGMON, D.A. STEVENSON, W.A. TILLER, B.E. DEAL, P. FAHEY, J.P. MCVITTIE, AND S.E. HANSEN. Process Simulators for Silicon VLSI and High Speed GaAs Devices. Technical Report, Stanford University, 1986.

Pon85
A. PONCET. Finite-Element Simulation of Local Oxidation of Silicon. IEEE Trans.Computer-Aided Design, Vol. 4, No. 1, 1985, pp. 41-53.

Rie95
G. RIEGER, S. HALAMA, AND S. SELBERHERR. A Programmable Tool for Interactive Wafer-State Level Data Processing. In: Ryssel and Pichler [Rys95], pp. 58-61.

Rys81
H. RYSSEL, G. PRINKE, K. HABERGER, K. HOFFMANN, K. MüLLER, AND R. HENKELMANN. Range Parameters of Boron Implanted into Silicon. Appl. Phys., Vol. 24, 1981, pp. 39-43.

Rys83
H. RYSSEL. Implantation and Diffusion Models for Process Simulation. In Proceedings: VLSI Process and Device Modeling, 1983.

Rys95
Ed. by H. RYSSEL AND P. PICHLER. Simulation of Semiconductor Devices and Processes, 1995, Vol. 6, Springer.

Saa88
Y. SAAD. Preconditioning Techniques for Nonsymmetric and Indefinite Linear Systems. J.Comp.Appl.Math., Vol. 24, 1988, pp. 89-105.

Saa90
Y. SAAD. SPARSKIT: A Basic Tool Kit for Sparse Matrix Computations. Technical Report, RIACS, NASA Ames Research Center, Moffett Field, CA 94035, 1990.

Sah93
Z.H. SAHUL, R.W. DUTTON, AND M. NOELL. Grid and Geometry Techniques for Multi-Layer Process Simulation. In Proceedings: Simulation of Semiconductor Devices and Processes, 1993, Vol. 5, Ed. by S. SELBERHERR, H. STIPPEL, AND E. STRASSER, Springer, pp. 417-420.

Sch69
D.L. SCHARFETTER AND H.K. GUMMEL. Large-Signal Analysis of a Silicon Read Diode Oscillator. IEEE Trans.Electron Devices, Vol. ED-16, 1969, pp. 64-77.

Sch71
R.O. SCHWENKER, E.S. PAN, AND R.F. LEVER. Arsenic Clustering in Silicon. J. Appl. Phys., Vol. 42, No. 8, 1971, pp. 3195-3200.

Sch85
H. SCHABER, R. V.CRIEGERN, AND I. WEITZEL. Analysis of Polycristalline Silicon Diffusion Sources by Secondary Ion Mass Spectrometry. J.Appl.Phys., Vol. 58, No. 11, 1985, pp. 4036-4042.

See68
A. SEEGER AND K.P. CHIK. Diffusion Mechanisms and Point Defects in Silicon and Germanium. Phys.Stat.Sol., Vol. 29, 1968, pp. 455-542.

Sel79
S. SELBERHERR, W. FICHTNER, AND H. PöTZL. MINIMOS - a Program Package to Facilitate MOS Device Design and Analysis. In Proceedings: Numerical Analysis of Semiconductor Devices and Integrated Circuits, Dublin, 1979, Vol. I, Ed. by B.T. BROWNE AND J.J. MILLER, Boole Press, pp. 275-279.

Sel84
S. SELBERHERR. Analysis and Simulation of Semiconductor Devices. Springer, 1984.

Sha75
D. SHAW. Self- and Impurity Diffusion in Ge and Si. Phys. Stat. Sol., Vol. B 72, No. 11, 1975, pp. 11-39.

She96
J.R. SHEWCHUK. Triangle: Engineering a 2D Quality Mesh Generator and Delaunay Triangulator. In Proceedings: First Workshop on Applied Computational Geometry, 1996, American Computer Machinery.

Shi91
T. SHIBA. SPOTEC -- A sub 10um2 Bipolar Transistor structure using fully self-aligned sidewall polycide base technology. In Proceedings: Int.Electron Devices Meeting, 1991, pp. 455-458.

Smy90
T. SMY, K.L. WESTRA, AND M.J. BRETT. Simulation of Density Variation and Step Coverage for Variety of Via/Contact Geometries Using SIMBAD. IEEE Trans.Electron Devices, Vol. 37, 1990, pp. 591-598.

Sol90
S. SOLMI, E. LANDI, AND F. BARUFFALDI. High-Concentration Boron Diffusion in Silicon: Simulation of the Precipitation Phenomena. J. Appl. Phys., Vol. 68, No. 7, 1990, pp. 3250-3258.

Sol91
S. SOLMI, F. BARUFFALDI, AND R. CANTERI. Diffusion of Boron in Silicon during post-implantation Annealing. J. Appl. Phys., Vol. 69, No. 4, 1991, pp. 2135-2142.

Spi93
C. SPINELLA, A. CACCIATO, F. BENYAICH, S. PANNITTERI, AND E. RIMINI. Early Stages of the epitaxial Realignment of Poly-silicon Films onto Silicon Substrates: Integration and Size Distribution. In Proceedings: 1st International Rapid Thermal Processing Conference, 1993, pp. 141-155.

Sro86
D.J. SROLOVITZ AND S.A.SAFRAN. Capillary Instabilities in thin Films. J.Appl.Phys., Vol. 60, 1986, pp. 247-254.

Sti93a
H. STIPPEL. Simulation der Ionen-Implantation. Dissertation, Technische Universität Wien, 1993.

Sti93b
H. STIPPEL AND S. SELBERHERR. Three dimensional Monte Carlo Simulation of Ion Implantation with Octree based Point Location. In: VPAD'93 [VPA93], pp. 122-123.

Sto84
N.A. STOLWIJK, B. SCHUSTER, AND J. HöLZL. Diffusion of Gold in Silicon Studied by Means of Neutron-Activation Analysis and Spreading-Resistance Measurements. Appl. Phys., Vol. A 33, 1984, pp. 133-140.

Str93
E. STRASSER, K. WIMMER, AND S. SELBERHERR. A New Method for Simulation of Etching and Deposition Processes. In: VPAD'93 [VPA93], pp. 54-55.

Str94
E. STRASSER. Simulation von Topographieprozessen in der Halbleiterfertigung. Dissertation, Technische Universität Wien, 1994.

Str95
E. STRASSER AND S. SELBERHERR. Algorithms and Models for Cellular Based Topography Simulation. IEEE Trans.Computer-Aided Design, Vol. 14, No. 9, 1995, pp. 1104-1114.

Sze88
Ed. by S.M. SZE. VLSI Technology, second edition. McGraw-Hill, 1988.

Tak91
H. TAKATO, K. SUNOUCHI, N. OKABE, A. NITAYAMA, K. HIEDA, F. HORIGUCHI, AND F. MASUOKA. Impact of Surrounding Gate Transistor (SGT) for Ultra-High-Density LSI's. IEEE Trans.Electron Devices, Vol. 38, No. 3, 1991, pp. 573-578.

Tan85
T.Y. TAN AND U. GöSELE. Point-defects, Diffusion-Processes, and Swirl Defect Formation in Silicon. Applied Physics A, Vol. 37, No. 1, 1985, pp. 1-17.

Tan89
A. TANAKA, A. UCHIYAMA, T. HAYASHI, T. IWABUCHI, AND S. NISHIKAWA. Optimization of Amorphous Layer Thickness and Junction Depth on the Pre-Amorphization Method for Forming Shallow-Junction in Silicon. In Proceedings: Int.Electron Devices Meeting, 1989, pp. 785-788.

Tas89
A.F. TASCH, H. SHIN, C. PARK, J. ALVIS, AND S. NOVAK. An Improved Approach to Accurately Model Shallow B and BF tex2html_wrap_inline6567 Implants in Silicon. J. Electrochem. Soc., Vol. 136, No. 3, 1989, pp. 810-814.

Tay93
W. TAYLOR, U. GöSELE, AND T.Y. TAN. Present Understanding of Point Defect Parameters and Diffusion in Silicon: An Overview. In Proceedings: Process Physics and Modeling in Semiconductor Technology, 1993, The Electrochemical Society, pp. 3-19.

Tho85
C.V. THOMPSON. Secondary Grain Growth in thin Films of Semiconductors: Theoretical Aspects. J.Appl.Phys., Vol. 58, No. 2, 1985, pp. 763-772.

Til80
W.A. TILLER. On the Kinetics of Thermal Oxidation of Silicon I. A Theoretical Perspective. J. Electrochem. Soc., Vol. 127, No. 3, 1980, pp. 619-624.

Toh91
K. TOH AND A. NEUREUTHER. Three-dimensional simulation of optical lithography. In Proceedings: SPIE Optical/Laser Microlithography IV, 1991, Vol. 1463, pp. 356-367.

Tsa80
M. TSAI, F. MOREHEAD, AND J. BAGLIN. Shallow Junctions by high-dose As Implants in Si: Experiments and Modeling. J.Appl.Phys., Vol. 51, No. 6, 1980, pp. 3230-3235.

Tsa95
C. TSAMIS AND D. TSOUKALAS. Modeling of Silicon Interstitial Surface Recombination Velocity at non-oxidizing Interfaces. In: Lorenz [Lor95], pp. 452-455.

Ula89
J.I. ULACIA. A 3-D Fluid Simulation of a Dry-Etching Aluminium Hexode Reactor. In Proceedings: Int.Electron Devices Meeting, 1989, pp. 707-709.

Ush90
S. USHIO, K. NISHI, S. KURODA, K. KAI, AND J. UEDA. A Fast Three-Dimensional Process Simulator OPUS/3D with Access to Two-Dimensional Simulation Results. IEEE Trans.Computer-Aided Design, Vol. 9, No. 7, 1990, pp. 745-751.

Van92
E. VANDENBOSSCHE AND B. BACCUS. Interactions between Dopants and Point Defects during Nitridation Processes. J.Appl.Phys., Vol. 72, No. 2, 1992, p. 447.

vdH94
L. VAN DEN HOVE AND K. RONSE. Optical Lithography for tex2html_wrap_inline4801 and below. In Proceedings: 24th European Solid State Device Research Conference - ESSDERC'94, Gif-sur-Yvette Cedex, France, 1994, Ed. by C. HILL AND P. ASHBURN, Editions Frontieres, pp. 265-272.

vdV89
J. VAN DER VELDEN. BASIC: An Advanced High-Performance Bipolar Process. In Proceedings: Int.Electron Devices Meeting, 1989, pp. 233-236.

vdV92
H.A. VAN DER VORST. BI-CGSTAB: A Fast and Smoothly Converging Variant of BI-CG for the Solution of Nonsymmetric Linear Systems. SIAM J.Sci.Stat.Comput., Vol. 13, No. 2, 1992, pp. 631-644.

Vem81
V. VEMURI AND W.J. KARPLUS. Digital Computer Treatment of Partial Differential Equations. Prentice-Hall, 1981.

VPA93
Proc. Int. Workshop on VLSI Process and Device Modeling (1993 VPAD), Nara, Japan, 1993.

Wad87
Y. WADA AND S. NISHIMATSU. Grain Growth Mechanism of Heavily Phosphorus-Implanted Polycristalline Silicon. Journal of Electrochemical Society, Vol. 125, No. 9, 1987, pp. 1499-1504.

Weh95
R.F. WEHOFER. Flexibler 2-4-Baum basierter Gittergenerator für BAMBI- und Delaunay-Gitter. Diplomarbeit, Technische Universität Wien, 1995.

Wie89
R.J. WIERZBICKI, J. LORENZ, AND A. BARTHEL. Simulation of Ion Implantation into Multilayer Structures. In: Heuberger et al. [Heu89], pp. 193-197.

Wil80
R.G. WILSON. The Pearson IV Distribution and its Application to Ion Implanted Depth Profiles. Rad. Eff., Vol. 46, 1980, pp. 141-148.

Wil92
J.D. WILLIAMS. Epitaxial Alignment of Polycrystalline Silicon and its Implications for Analogue Bipolar Circuits. PhD thesis, University of Southampton, United Kingdom, 1992.

Wim90
K. WIMMER, R. BAUER, S. HALAMA, G. HOBLER, AND S. SELBERHERR. Prozeß-Simulation in nichtplanaren Strukturen mit PROMIS. In Proceedings: NuTech 90, Garmisch-Partenkirchen, 1990, Siemens Corporate Research and Development, Germany, p. 4.

Wim93
K. WIMMER. Two-Dimensional Nonplanar Process Simulation. Dissertation, Technische Universität Wien, 1993.

Won86
C. WONG AND F. LAI. Ambient and Dopant Effects on Boron Diffusion in Oxides. Applied Physics Letters, Vol. 48, No. 24, 1986, pp. 1658-1660.

Won95
A.K. WONG AND A.R. NEUREUTHER. Rigorous Three-Dimensional Time-Domain Finite-Difference Electromagnetic Simulation for Photolithographic Applications. IEEE Trans.Semiconductor Manufacturing, Vol. 8, No. 4, 1995, pp. 419-431.

Yan95
An Accurate Monte Carlo Model for the Simulation of Arsenic and Boron Implants into Silicon: UT-MARLOWE Version 2.0. S.H. YANG, S. MORRIS, S. TIAN, K. PARAB, A.F. TASCH, C. SNELL, AND L.HENRICKSON. The University of Texas, Austin 78712, USA, 1995.

Yos74
M. YOSHIDA, E. ARAI, H. NAKAMURA, AND Y. TERUNUMA. Excess vacancy generation mechanism at phosphorus diffusion into silicon. J.Appl.Phys., Vol. 45, No. 4, 1974, pp. 1498-1506.

Yos79
M. YOSHIDA. Numerical Solution of Phosphorus Diffusion Equation in Silicon. Jap.J.Appl.Phys., Vol. 18, No. 3, 1979, pp. 479-489.

Zhe94
J. ZHENG AND P. MCVITTIE. Modeling of Side Wall Passivation and Ion Saturation Effects on Etching Profiles. In Proceedings: Int. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits NUPAD V, 1994, pp. 37-40.

Zim89
H. ZIMMERMANN AND P. PICHLER. The Influence of Point Defect Concentrations on the Diffusion of Gold in Silicon. In: Heuberger et al. [Heu89], pp. 287-290.

Zim92
H. ZIMMERMANN AND H. RYSSEL. Gold and Platinum Diffusion: The Key to the Understanding of Intrinsic Point Defect Behavior in Silicon. Applied Physics A, Vol. 55, 1992, pp. 121-134.

Zim93
H. ZIMMERMANN AND H. RYSSEL. A Study of Vacancy Distributions in Silicon during Technological Processes. In Proceedings: Process Physics and Modeling in Semiconductor Technology, 1993, The Electrochemical Society, pp. 159-166.

thepublications1918

thepublications1920



IUE WWW server
Fri Jul 5 17:07:46 MET DST 1996