next up previous contents
Next: Own Publications Up: Dissertation T. Windbacher Previous: E. Flatband Potential and MOSFET Properties

Bibliography

1
D. Harame, L. Bousse, J. Shott, and J. Meindl, ``Ion-Sensing Devices with Silicon Nitride and Borosilicate Glass Insulators,'' IEEE Trans.Electron Devices, vol. 34, no. 8, pp. 1700-1707, Aug 1987.

2
http://www.pdb.org.

3
A. Martinez, K. Kalna, P. Sushko, A. Shluger, J. Barker, and A. Asenov, ``Impact of Body-Thickness-Dependent Band Structure on Scaling of Double-Gate MOSFETs: A DFT/NEGF Study,'' IEEE Trans. Nanotechnology, vol. 8, no. 2, pp. 159-166, March 2009.

4
J.-L. van der Steen, D. Esseni, P. Palestri, L. Selmi, and R. Hueting, ``Validity of the Parabolic Effective Mass Approximation in Silicon and Germanium n-MOSFETs with Different Crystal Orientations,'' IEEE Trans.Electron Devices, vol. 54, no. 8, pp. 1843-1851, Aug 2007.

5
R. Beynon and J. Easterby, Buffer Solutions the Basiscs.
Oxford University Press, Oxford New York Tokyo, 1996.

6
``International Technology Roadmap for Semiconductors: 2009 Edition,'' 2009.
http://www.itrs.net/Links/2009ITRS/Home2009.htm.

7
T. Bohr, ``Intel $ 32\,\mathrm{nm}$ Technology,'' tech. rep., Intel Logic Technology Development, Feb 2009.

8
C.-H. Jan, P. Bai, S. Biswas, M. Buehler, Z.-P. Chen, G. Curello, S. Gannavaram, W. Hafez, J. He, J. Hicks, U. Jalan, N. Lazo, J. Lin, N. Lindert, C. Litteken, M. Jones, M. Kang, K. Komeyli, A. Mezhiba, S. Naskar, S. Olson, J. Park, R. Parker, L. Pei, I. Post, N. Pradhan, C. Prasad, M. Prince, J. Rizk, G. Sacks, H. Tashiro, D. Towner, C. Tsai, Y. Wang, L. Yang, J.-Y. Yeh, J. Yip, and K. Mistry, ``A Low Power System-On-Chip Technology with Dual Gate (Logic and I/O) High-K/Metal Gate Strained Silicon Transistors,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1-4, Dec 2008.

9
S. Borkar, ``Design Challenges for $ 22\,\mathrm{nm}$ CMOS and Beyond,'' in IEEE Proc. Intl. Electron Devices Meeting, p. 18.2.1, Dec 2009.

10
S. Hofstein and F. Heiman, ``The Silicon Insulated-Gate Field-Effect Transistor,'' in Proc.IEEE, vol. 51, pp. 1190-1202, Sep 1963.

11
C. Jan, M. Agostinelli, M. Buehler, Z.-P. Chen, S.-J. Choi, G. Curello, H. Deshpande, S. Gannavaram, W. Hafez, U. Jalan, M. Kang, P. Kolar, K. Komeyli, B. Landau, A. Lake, N. Lazo, S.-H. Lee, T. Leo, J. Lin, N. Lindert, S. Ma, L. McGill, C. Meining, A. Paliwal, J. Park, K. Phoa, I. Post, N. Pradhan, M. Prince, A. Rahman, J. Rizk, L. Rockford, G. Sacks, A. Schmitz, H. Tashiro, C. Tsai, P. Vandervoorn, J. Xu, L. Yang, J.-Y. Yeh, J. Yip, K. Zhang, Y. Zhang, and P. Bai, ``A SoC Platform Technology with $ 2^{\mathrm{nd}}$ Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 647-650, Dec 2009.

12
Intel Cooperation, Intel CoreTM i7 Processor Extreme and Intel CoreTM i7 Processor, Datasheet, Volume 1, Nov 2008.

13
B. Stackhouse, S. Bhimji, C. Bostak, D. Bradley, B. Cherkauer, J. Desai, E. Francom, M. Gowan, P. Gronowski, D. Krueger, C. Morganti, and S. Troyer, ``A 65 nm 2-Billion Transistor Quad-Core Itanium Processor,'' IEEE Journal of Solid-State Circuits, vol. 44, no. 1, pp. 18-31, Jan 2009.

14
N. Flaherty, ``Flash [computer memory],'' IEEE Review, vol. 49, no. 11, pp. 50-53, Nov 2003.

15
K. Itoh, T. Watanabe, S. Kimura, and T. Sakata, ``Reviews and Prospects of High-Density RAM Technology,'' in Proc. Intl. Semiconductor Conference CAS, vol. 1, pp. 13-22, 2000.

16
P. Bergveld, ``Thirty Years of ISFETology: What Happened in the Past 30 Years and What May Happen in the Next 30 Years,'' Sensors and Actuators B: Chemical, vol. 88, no. 1, pp. 1-20, 2003.

17
Z. Gao, A. Agarwal, A. Trigg, N. Singh, C. Fang, C.-H. Tung, Y. Fan, K. Buddharaju, and J. Kong, ``Silicon Nanowire Arrays for Label-Free Detection of DNA,'' Analytical Chemistry, vol. 79, no. 9, pp. 3291-3297, April 2007.
doi: 10.1021/ac061808q.

18
G. E. Moore, ``Cramming More Components onto Integrated Circuits,'' Electronics, vol. 38, no. 8, pp. 114-117, 1965.

19
B. V. Zeghbroeck, Principles of Semiconductor Devices.
online course, 2007.
http://ece-www.colorado.edu/ bart/book/.

20
``International Technology Roadmap for Semiconductors: 2008 Update,'' 2008.
http://www.itrs.net/Links/2008ITRS/Home2008.htm.

21
K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C. . Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Rarade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, and K. Zawadzki, ``A 45nm Logic Technology with High-k+ Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 247-250, Dec 2007.

22
K. Mistry, M. Armstrong, C. Auth, S. Cea, T. Coan, T. Ghani, T. Hoffmann, A. Murthy, J. Sandford, R. Shaheed, K. Zawadzki, K. Zhang, S. Thompson, and M. Bohr, ``Delaying Forever: Uniaxial Sstrained Silicon Transistors in a $ 90\,\mathrm{nm}$ CMOS Technology,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 50-51, June 2004.

23
P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, J. Jeong, C. Kenyon, E. Lee, S.-H. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigerwald, S. Tyagi, C. Weber, B. Woolery, A. Yeoh, K. Zhang, and M. Bohr, ``A $ 65\,\mathrm{nm}$ Logic Technology Featuring Gate Lengths, Enhanced Channel Strain, Cu Interconnect Layers, Low-k ILD and Cell,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 657-660, Dec 2004.

24
P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, and S. Natarajan, ``High Performance $ 32\,\mathrm{nm}$ Logic Technology Featuring Generation High-k + Metal Gate Transistors,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 659-662, Dec 2009.

25
M. Frank, S. Kim, S. Brown, J. Bruley, M. Copel, M. Hopstaken, M. Chudzik, and V. Narayanan, ``Scaling the MOSFET Gate Dielectric: From High-k to Higher-k? (Invited Paper),'' Microelectronic Engineering, vol. 86, no. 7-9, pp. 1603-1608, 2009.
INFOS 2009.

26
S. Rhee, C. Kang, C. Choi, C. Kang, S. Krishnan, M. Zhang, M. Akbar, and J. Lee, ``Improved Electrical and Material Characteristics of Hafnium Titanate Multi-Metal Oxide n-MOSFETs with Ultra-Thin EOT ( ) Gate Dielectric Application,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 837-840, Dec 2004.

27
X. Guo, X. Wang, Z. Luo, T. Ma, and T. Tamagawa, ``High Quality Ultra-Thin ( ) Gate Dielectric for Deep Sub-Micron CMOS Technology,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 137-140, Dec 1999.

28
D. Triyoso, R. Hegde, X.-D. Wang, M. Stoker, R. Rai, M. Ramon, J. B.E. White, and P. Tobin, ``Characteristics of Mixed Oxides and Nanolaminates of Atomic Layer Deposited Gate Dielectrics,'' J.Electrochem.Soc., vol. 153, no. 9, pp. G834-G839, 2006.

29
S. Kim, S. Brown, S. Rossnagel, J. Bruley, M. Copel, M. Hopstaken, V. Narayanan, and M. Frank, ``Oxygen Migration in -Based Higher-k Gate Stacks,'' J.Appl.Phys., vol. 107, p. 054102, 2010.

30
H.-H. Tseng, P. Kirsch, C. Park, G. Bersuker, P. Majhi, M. Hussain, and R. Jammy, ``The Progress and Challenges of Threshold Voltage Control of High-k/Metal-Gated Devices for Advanced Technologies (Invited Paper),'' Microelectronic Engineering, vol. 86, no. 7-9, pp. 1722-1727, 2009.

31
K. Kita and A. Toriumi, ``Intrinsic Origin of Electric Dipoles Formed at High-k/ Interface,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1-4, Dec 2008.

32
P. Sivasubramani, T. Boscke, J. Huang, C. Young, P. Kirsch, S. Krishnan, M. Quevedo-Lopez, S. Govindarajan, B. Ju, H. Harris, D. Lichtenwalner, J. Jur, A. Kingon, J. Kim, B. Gnade, R. Wallace, G. Bersuker, B. Lee, and R. Jammy, ``Dipole Moment Model Explaining nFET Vt Tuning Utilizing La, Sc, Er, and Sr Doped Dielectrics,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 68-69, June 2007.

33
P. D. Kirsch, P. Sivasubramani, J. Huang, C. D. Young, M. A. Quevedo Lopez, H. C. Wen, H. Alshareef, K. Choi, C. S. Park, K. Freeman, M. M. Hussain, G. Bersuker, H. R. Harris, P. Majhi, R. Choi, P. Lysaght, B. H. Lee, H.-H. Tseng, R. Jammy, T. S. Böscke, D. J. Lichtenwalner, J. S. Jur, and A. I. Kingon, ``Dipole Model Explaining High-k/Metal Gate Field Effect Transistor Threshold Voltage Tuning,'' Appl.Phys.Lett., vol. 92, no. 9, p. 092901, 2008.

34
F. Masuoka and H. Iizuka, ``Semiconductor Memory Device and Method for Manufacturing the Same,'' July 1985.
Patent: US4531203 (A).

35
Y. Chen, ``Flash Memory Reliability NEPP 2008 Task Final Report,'' Tech. Rep. 09-09, Jet Propulsion Labatory, National Aeronautics and Space Administration, Pasadena, California, USA, March 2009.
http://hdl.handle.net/2014/41262.

36
SanDisk Corporation, ``SanDisk Announces World’s Largest Mobile Phone Memory Card Capacity with 16GB MicroSDHC and M2,'' press release, http://www.sandisk.com/Corporate/PressRoom/PressReleases/PressRelease.aspx?ID=4398, 2008.

37
K. Kim, ``Technology for Sub-50nm DRAM and NAND Flash Manufacturing,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 323-326, Dec 2005.

38
D. DiMaria and E. Cartier, ``Mechanism for Stress-Induced Leakage Currents in Thin Silicon Dioxide Films,'' J.Appl.Phys., vol. 78, no. 6, pp. 3883-3894, 1995.

39
J. Kittl, K. Opsomer, M. Popovici, N. Menou, B. Kaczer, X. Wang, C. Adelmann, M. Pawlak, K. Tomida, A. Rothschild, B. Govoreanu, R. Degraeve, M. Schaekers, M. Zahid, A. Delabie, J. Meersschaut, W. Polspoel, S. Clima, G. Pourtois, W. Knaepen, C. Detavernier, V. Afanas'ev, T. Blomberg, D. Pierreux, J. Swerts, P. Fischer, J. Maes, D. Manger, W. Vandervorst, T. Conard, A. Franquet, P. Favia, H. Bender, B. Brijs, S. Van Elshocht, M. Jurczak, J. Van Houdt, and D. Wouters, ``High-k Dielectrics for Future Generation Memory Devices (Invited Paper),'' Microelectronic Engineering, vol. 86, no. 7-9, pp. 1789-1795, 2009.
INFOS 2009.

40
V. V. Afanas'ev and A. Stesmans, ``Internal Photoemission at Interfaces of High- Insulators with Semiconductors and Metals,'' J.Appl.Phys., vol. 102, no. 8, p. 081301, 2007.

41
B. Govoreanu, D. Wellekens, L. Haspeslagh, D. Brunco, J. D. Vos, D. R. Aguado, P. Blomme, K. van der Zanden, and J. V. Houdt, ``Performance and Reliability of -based Interpoly Dielectrics for Floating-Gate Flash Memory,'' Solid-State Electron., vol. 52, no. 4, pp. 557-563, April 2008.

42
R. Steimle, R. Muralidhar, R. Rao, M. Sadd, C. Swift, J. Yater, B. Hradsky, S. Straub, H. Gasquet, L. Vishnubhotla, E. Prinz, T. Merchant, B. Acred, K. Chang, B. White, and Jr., ``Silicon Nanocrystal Non-Volatile Memory for Embedded Memory Scaling,'' Microelectron.Reliab., vol. 47, no. 4-5, pp. 585-592, 2007.

43
C. Gerardi, V. Ancarani, R. Portoghese, S. Giuffrida, M. Bileci, G. Bimbo, O. Brafa, D. Mello, G. Ammendola, E. Tripiciano, R. Puglisi, and S. Lombardo, ``Nanocrystal Memory Cell Integration in a Stand-Alone 16-Mb NOR Flash Device,'' IEEE Trans.Electron Devices, vol. 54, no. 6, pp. 1376-1383, June 2007.

44
R. A. Puglisi, S. Lombardo, D. Corso, I. Crupi, G. Nicotra, L. Perniola, B. De Salvo, and C. Gerardi, ``Effects of Partial Self-Ordering of Si Dots Formed by Chemical Vapor Deposition on the Threshold Voltage Window Distribution of Si Nanocrystal Memories,'' J.Appl.Phys., vol. 100, no. 8, p. 086104, 2006.

45
R. Ohba, Y. Mitani, N. Sugiyama, and S. Fujita, `` $ 10\,\mathrm{nm}$ Bulk-Planar SONOS-Type Memory with Double Tunnel Junction and Sub- $ 10\,\mathrm{nm}$ Scaling Utilizing Source to Drain Direct Tunnel Sub-Threshold,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1-4, Dec 2008.

46
S. Lombardo, C. Gerardi, L. Breuil, C. Jahan, L. Perniola, G. Cina, D. Corso, E. Tripiciano, V. Ancarani, G. Iannaccone, G. Iacono, C. Bongiorno, J. Razafindramora, C. Garozzo, P. Barbera, E. Nowak, R. Puglisi, G. Costa, C. Coccorese, M. Vecchio, E. Rimini, J. Van Houdt, B. De Salvo, and M. Melanotte, ``Advantages of the FinFET Architecture in SONOS and Nanocrystal Memory Devices,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 921-924, Dec 2007.

47
A. Marent, M. Geller, A. Schliwa, D. Feise, K. Pötschke, D. Bimberg, N. Akçay, and N. Öncan, `` Years Extrapolated Hole Storage Time in Quantum Dots,'' Appl.Phys.Lett., vol. 91, no. 24, p. 242109, 2007.

48
W. Haensch, E. Nowak, R. Dennard, P. Solomon, A. Bryant, O. Dokumaci, A. Kumar, X. Wang, J. Johnson, and M. V. Fischetti, ``Silicon CMOS Devices Beyond Scaling,'' IBM J.Res.Dev., vol. 50, no. 4/5, pp. 339-361, 2006.

49
B. Hoeneisen and C. Mead, ``Fundamental Limitations in Microelectronics-I. MOS Technology,'' Solid-State Electron., vol. 15, no. 7, pp. 819-829, July 1972.

50
J. Wallmark, ``Fundamental Physical Limitations in Integerated Electronic Circuits,'' in Inst. Phys. Conf. Ser., vol. 25, pp. 133-167, 1975.

51
C. Hu, ``Gate Oxide Scaling Limits and Projection,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 319-322, Dec 1996.

52
J. Stathis and D. DiMaria, ``Reliability Projection for Ultra-Thin Oxides at Low Voltage,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 167-170, Dec 1998.

53
K. Rim, J. Chu, H. Chen, K. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy, J. Newbury, J. Ott, K. Petrarca, P. Mooney, D. Lacey, S. Koester, K. Chan, D. Boyd, M. Ieong, and H.-S. Wong, ``Characteristics and Device Design of Sub- $ 100\,\mathrm{nm}$ Strained $ Si$ n- and nMOSFETs,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 98-99, 2002.

54
S. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffmann, J. Klaus, Z. Ma, B. McIntyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr, and Y. El-Mansy, ``A Logic Nanotechnology Featuring Strained-Silicon,'' IEEE Electron Device Lett., vol. 25, no. 4, pp. 191-193, 2004.

55
H. Hall, J. Bardeen, and G. Pearson, ``The Effects of Pressure and Temperature on the Resistance of Junctions in Germanium,'' Physical Review, vol. 84, no. 1, pp. 129-132, Oct 1951.

56
C. Smith, ``Piezoresistance Effect in Germanium and Silicon,'' Physical Review, vol. 94, no. 1, pp. 42-49, April 1954.

57
E. Fitzgerald, Y. Xie, M. Green, D. Brasen, A. Kortan, J. Michel, Y. Mii, and B. Weir, ``Totally Relaxed GeSi Layers with Low Threading Dislocation Densities Grown on Si Substrates,'' Appl.Phys.Lett., vol. 59, no. 7, pp. 811-813, 1991.

58
J. Welser, J. Hoyt, and J. Gibbons, ``NMOS and PMOS Transistors Fabricated in Strained Silicon/Relaxed Silicon-Germanium Structures,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1000-1002, Dec 1992.

59
J. Welser, J. Hoyt, and J. Gibbons, ``Electron Mobility Enhancement in Strained-Si n-Type Metal-Oxide-Semiconductor Field-Effect Transistors,'' IEEE Electron Device Lett., vol. 15, no. 3, pp. 100-102, March 1994.

60
Z.-Y. Cheng, M. Currie, C. Leitz, G. Taraschi, E. Fitzgerald, J. Hoyt, and D. Antoniadas, ``Electron Mobility Enhancement in Strained-Si n-MOSFETs Fabricated on SiGe-On-Insulator (SGOI) Substrates,'' IEEE Electron Device Lett., vol. 22, no. 7, pp. 321-323, July 2001.

61
B. Ghyselen, J. Hartmann, T. Ernst, C. Aulnette, Y. Osternaud, B.and Bogumilowicz, A. Abbadie, P. Besson, O. Rayssac, and A. Tiberj, ``Engineering Strained Silicon on Insulator Wafers with the Smart CutTM Technology,'' Solid-State Electron., vol. 48, no. 8, pp. 1285-1296, Aug 2004.

62
M. Sadaka, A. Thean, A. Barr, D. Tekleab, S. Kalpat, and T. White, ``Fabrication and Operation of Sub-50 nm Strained-Si on SiGe on Insulator (SGOI) CMOSFETs,'' in IEEE Intl. SOI Conference, pp. 209-211, 2004.

63
F. Andrieu, T. Ernst, O. Faynot, O. Rozeau, Y. Bogumilowicz, J. M. Hartmann, L. Brevard, A. Toffoli, D. Lafond, and B. Ghyselen, ``Performance and Physics of Sub-50 nm Strained Si on SiGe on Insulator (SGOI) nMOSFETs,'' Solid-State Electron., vol. 50, no. 4, pp. 566-572, April 2006.

64
L.-J. Huang, J. Chu, S. Goma, C. D'Emic, S. Koester, D. Canaperi, P. Mooney, S. Cordes, J. Speidell, R. Anderson, and H.-S. Wong, ``Carrier Mobility Enhancement in Strained Si-On-Insulator Fabricated by Wafer Bonding,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 57-58, 2001.

65
K. Rim, K. Chan, L. Shi, D. Boyd, J. Ott, N. Klymko, F. Cardone, L. Tai, S. Koester, M. Cobb, D. Canaperi, B. To, E. Duch, I. Babich, R. Carruthers, P. Saunders, G. Walker, Y. Zhang, M. Steen, and M. Ieong, ``Fabrication and Mobility Characteristics of Ultra-Thin Strained Si Directly on Insulator (SSDOI) MOSFETs,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 49-52, Dec 2003.

66
S. Uppal, M. Bollani, A. Willoughby, J. Bonar, R. Morris, and M. Dowsett, ``Boron Diffusion in High $ Ge$ Content $ SiGe$ Alloys,'' in Electrochemical Society Proceedings, vol. 7, pp. 159-165, Electrochemical Society Inc., 2004.

67
K. Rim, J. Hoyt, and J. Gibbons, ``Fabrication and Analysis of Deep Submicron Strained-Si n-MOSFET's,'' IEEE Trans.Electron Devices, vol. 47, no. 7, pp. 1406-1415, July 2000.

68
B. Haugerud, L. Bosworth, and R. Belford, ``Mechanically Induced Strain Enhancement of Metal-Oxide-Semiconductor Field Effect Transistors,'' J.Appl.Phys., vol. 94, no. 6, pp. 4102-4107, 2003.

69
R. E. Belford, ``Uniaxial, Tensile-Strained Si Devices,'' J.Electron.Mater., vol. 30, no. 7, pp. 807-811, 2001.

70
L. Bera, W. Loh, L. Guo, X. Zhang, G. Lo, N. Balasubramanian, and D.-L. Kwong, ``The Impact of Uniform Strain Applied Via Bonding Onto Plastic Substrate on MOSFET Performance,'' IEEE Electron Device Lett., vol. 27, no. 1, pp. 58-61, Jan 2006.

71
G. Scott, J. Lutze, M. Rubin, F. Nouri, and M. Manley, ``NMOS Drive Current Reduction Caused by Transistor Layout and Trench Isolation Induced Stress,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 827-830, Dec 1999.

72
T. Matsumoto, S. Maeda, H. Dang, T. Uchida, K. Ota, Y. Hirano, H. Sayama, T. Iwamatsu, T. Ipposhi, H. Oda, S. Maegawa, Y. Inoue, , and T. Nishmura, ``Novel SOI Wafer Engineering using Low Stress and High Mobility CMOSFET with 100 Channel for Embedded RF/Analog Applications,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 663-666, Dec 2002.

73
A. Steegen, M. Stucchi, A. Lauwers, and K. Maex, ``Silicide Induced Pattern Density and Orientation Dependent Transconductance in MOS Transistors,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 497-500, Dec 1999.

74
S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N. Ikezawa, T. Suzuki, T. Saitoh, and T. Horiuchi, ``Mechanical Stress Effect of Etch-Stop Nitride and its Impact on Deep Submicron Transistor Design,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 247-251, Dec 2000.

75
A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, , and F. Ootsuka, ``Local Mechanical-Stress Control (LMC): A New Technique for CMOS-Performance Enhancement,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 433-436, Dec 2001.

76
R. Khamankar, H. Bu, C. Bowen, S. Chakravarthi, P. Chidambaram, M. Bevan, A. Krishnan, H. Niimi, B. Smith, J. Blatchford, B. Hornung, J. Lu, P. Nicollian, B. Kirkpatrick, D. Miles, M. Hewson, D. Farber, L. Hall, H. Alshareef, A. Varghese, A. Gurba, V. Ukraintsev, B. Rathsack, J. De Loach, J. Tran, C. Kaneshige, M. Somervell, S. Aur, C. Machala, and T. Grider, ``An Enhanced High Performance Technology with Strong Performance Improvements from Stress and Mobility Increase Through Simple Process Changes,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 162-163, June 2004.

77
J.-S. Lim, S. Thompson, and J. Fossum, ``Comparison of Threshold-Voltage Shifts for Uniaxial and BiaxialTensile-Stressedn-MOSFETs,'' IEEE Electron Device Lett., vol. 25, no. 11, pp. 731-733, Nov 2004.

78
S. Eneman, P. Verheyen, R. Rooyackers, F. Nouri, L. Washington, R. Degraeve, B. Kaczer, V. Moroz, A. De Keersgieter, R. Schreutelkamp, M. Kawaguchi, Y. Kim, A. Samoilov, L. Smith, P. Absil, K. De Meyer, M. Jurczak, and S. Biesemans, ``Layout Impact on the Performance of a Locally Strained PMOSFET,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 22-23, June 2005.

79
H. Yang, R. Malik, S. Narasimha, Y. Li, R. Divakaruni, P. Agnello, S. Allen, A. Antreasyan, J. C. Arnold, K. Bandy, M. Belyansky, A. Bonnoit, G. Bronner, V. Chan, X. Chen, Z. Chen, D. Chidambarrao, A. Chou, W. Clark, S. W. Crowder, B. Engel, H. Harifuchi, S. F. Huang, R. Jagannathan, F. F. Jamin, Y. Kohyama, H. Kuroda, C. W. Lai, H. K. Lee, W. H. Lee, E. H. Lim, W. Lai, A. Mallikarjunan, K. Matsumoto, A. McKnight, J. Nayak, H. Y. Ng, S. Panda, R. Rengarajan, M. Steigerwalt, S. Subbanna, K. Subramanian, J. Sudijono, G. Sudo, S. P. Sun, B. Tessier, Y. Toyoshima, P. Tran, R. Wise, R. Wong, I. Y. Yang, C. H. Wann, L. T. Su, M. Horstmann, T. Feudel, A. Wei, K. Frohberg, G. Burbach, M. Gerhardt, M. Lenski, R. Stephan, K. Wieczorek, M. Schaller, H. Salz, J. Hohage, H. Ruelke, J. Klais, P. Huebler, S. Luning, R. van Bentum, G. Grasshoff, C. Schwan, E. Ehrichs, S. Goad, J. Buller, S. Krishnan, D. Greenlaw, M. Raab, and N. Kepler, ``Dual Stress Liner for High Performance Sub- $ 45\,\mathrm{nm}$ Gate Length SOI CMOS Manufacturing,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1075-1077, Dec 2004.

80
C. Sheraw, M. Yang, D. Fried, G. Costrini, T. Kanarsky, W. Lee, V. Chan, M. Fischetti, J. Holt, L. Black, M. Naeem, S. Panda, L. Economikos, J. Groschopf, A. Kapur, Y. Li, R. T. Mo, A. Bonnoit, D. Degraw, S. Luning, D. Chidambarrao, X. Wang, A. Bryant, D. Brown, C.-Y. S. P. Agnello, M. I. S.-F. Huang, X. Chen, and M. Khare, ``Dual Stress Liner Enhancement in Hybrid Orientation Technology,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 12-13, 2005.

81
R. Arghavani, L. Xia, H. Saad, M. Balseanu, G. Karunasiri, A. Mascarenhas, , and S. Thompson, ``A Reliable and Manufacturable Method to Induce a Stress of 1 GPa on a p-Channel MOSFET in High Volume Manufacturing,'' IEEE Electron Device Lett., vol. 27, no. 2, pp. 114-116, 2006.

82
K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto, and Y. Inoue, ``Novel Locally Strained Channel Technique for High Performance 55nm CMOS,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 27-30, Dec 2002.

83
Chien-Hao Chen, T. Lee, T. Hou, C. Chen, C. Chen, J. Hsu, K. Cheng, Y. Chiu, H. Tao, Y. Jin, C. Diaz, S. Chen, and M.-S. Liang, ``Stress Memorization Technique (SMT) by Selectively Strained-Nitride Capping for Sub-65nm High-Performance Strained-Si Device Application,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 56-57, June 2004.

84
M. Horstmann, A. Wei, T. Kammler, J. Höntschel, H. Bierstedt, T. Feudel, K. Frohberg, M. Gerhardt, A. Hellmich, K. Hempel, J. Hohage, P. Javorka, J. Klais, G. Koerner, M. Lenski, A. Neu, R. Otterbach, P. Press, C. Reichel, M. Trentsch, B. Trui, H. Salz, M. Schaller, H.-J. Engelmann, O. Herzog, H. Ruelke, P. Hübler, R. Stephan, D. Greenlaw, M. Raab, and N. Kepler, ``Integration and Optimization of Embedded-SiGe, Compressive and Tensile Stressed Liner Films, and Stress Memorization in Advanced SOI CMOS Technologies,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 233-236, Dec 2005.

85
V. Chan, K. Rim, M. Ieong, S. Yang, R. Malik, Y. W. Teh, M. Yang, and Qiqing, ``Strain for CMOS Performance Improvement,'' in Custom Integrated Circuits Conference, 2005. Proceedings of the IEEE 2005, pp. 667-674, Sep 2005.

86
P. Chidambaram, C. Bowen, S. Chakravarthi, C. Machala, and R. Wise, ``Fundamentals of Silicon Material Properties for Successful Exploitation of Strain Engineering in Modern CMOS Manufacturing,'' IEEE Trans.Electron Devices, vol. 53, no. 5, pp. 944-964, May 2006.

87
S. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffmann, C.-T. Jan, C. Kenyon, J. Klaus, K. Kuhn, Z. Ma, B. McIntyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, P. Nguyen, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, and Y. El-Mansy, ``A -nm Logic Nanotechnology Featuring Strained-Silicon,'' IEEE Trans.Electron Devices, vol. 51, no. 11, pp. 1790-1797, Nov 2004.

88
Q. Ouyang, M. Yang, J. Holt, S. Panda, H. Chen, H. Utomo, M. Fischetti, N. Rovedo, J. Li, N. Klymko, H. Wildman, T. Kanarsky, G. Costrini, D. Fried, A. Bryant, J. Ott, M. Ieong, and C. Sung, ``Investigation of CMOS Devices with Embedded SiGe Source/Drain on Hybrid Orientation Substrates,'' in IEEE Trans.VLSI Systems, pp. 28-29, June 2005.

89
K.-W. Ang, K. Chui, V. Bliznetsov, A. Du, N. Balasubramanian, M. Li, G. Samudra, and Y.-C. Yeo, ``Enhanced Performance in 50 nm n-MOSFETs with Silicon-Carbon Source/Drain Regions,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1069-1071, Dec 2004.

90
K.-J. Chui, K.-W. Ang, N. Balasubramanian, M.-F. Li, G. Samudra, and Y.-C. Yeo, ``n-MOSFET With Silicon–Carbon Source/Drain for Enhancement of Carrier Transport,'' IEEE Trans.Electron Devices, vol. 54, no. 2, pp. 249-256, Feb 2007.

91
Y. Wang, D. Scott, J. Wu, J. Waller, J. Hu, K. Liu, and V. Ukraintsev, ``Effects of Uniaxial Mechanical Stress on Drive Current of MOSFETs,'' IEEE Trans.Electron Devices, vol. 50, no. 2, pp. 529-531, Feb 2003.

92
V. Chan, R. Rengarajan, N. Rovedo, W. Jin, T. Hook, P. Nguyen, J. Chen, E. Nowak, X.-D. Chen, D. Lea, A. Chakravarti, V. Ku, S. Yang, A. Steegen, C. Baiocco, P. Shafer, H. Ng, S.-F. Huang, and C. Wann, ``High Speed 45nm Gate Length CMOSFETs Integrated Into a $ 90\,\mathrm{nm}$ Bulk Technology Incorporating Strain Engineering,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 3.8.1-3.8.4, Dec 2003.

93
D. Zhang, B. Nguyen, T. White, B. Goolsby, T. Nguyen, V. Dhandapani, J. Hildreth, M. Foisy, V. Adams, Y. Shiho, A. Thean, D. Theodore, M. Canonico, S. Zollner, S. Bagchi, S. Murphy, R. Rai, J. Jiang, M. Jahanbani, R. Noble, M. Zavala, R. Cotton, D. Eades, S. Parsons, P. Montgomery, A. Martinez, B. Winstead, M. Mendicino, J. Cheek, J. Liu, P. Grudowski, N. Ranami, P. Tomasini, C. Arena, C. Werkhoven, H. Kirby, C. Chang, C. Lin, H. Tuan, Y. See, S. Venkatesan, V. Kolagunta, N. Cave, and J. Mogab, ``Embedded SiGe S/D PMOS on Thin Body SOI Substrate with Drive Current Enhancement,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 26-27, June 2005.

94
N. Mohta and S. Thompson, ``Mobility Enhancement,'' IEEE Circuits & Devices, vol. 21, no. 5, pp. 18-23, Sep-Oct 2005.

95
S. Pidin, T. Mori, K. Inoue, S. Fukuta, N. Itoh, E. Mutoh, K. Ohkoshi, R. Nakamura, K. Kobayashi, K. Kawamura, T. Saiki, S. Fukuyama, S. Satoh, M. Kase, and K. Hashimoto, ``A Novel Strain Enhanced CMOS Architecture Using Selectively Deposited High Tensile and High Compressive Silicon Nitride Films,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 213-216, Dec 2004.

96
C.-H. Jan, P. Bai, J. Choi, G. Curello, S. Jacobs, J. Jeong, K. Johnson, D. Jones, S. Klopcic, J. Lin, N. Lindert, A. Lio, S. Natarajan, J. Neirynck, P. Packan, J. Park, I. Post, M. Patel, S. Ramey, P. Reese, L. Rockford, A. Roskowski, G. Sacks, B. Turkot, Y. Wang, L. Wei, J. Yip, I. Young, K. Zhang, Y. Zhang, M. Bohr, and B. Holt, ``A nm Ultra Low Power Logic Platform Technology Using Uni-Axial Strained Silicon Transistors,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 60-63, Dec 2005.

97
N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong, A. Kingon, H. Kohlstedt, N. Park, G. Stephenson, I. Stolitchnov, A. Taganstev, D. Taylor, T. Yamada, and S. Streiffer, ``Ferroelectric Thin Films: Review of Materials, Properties, and Applications,'' J.Appl.Phys., vol. 100, no. 5, p. 051606, 2006.

98
D. Chapman, ``Some Thin-Film Properties of a New Ferroelectric Composition,'' J.Appl.Phys., vol. 40, no. 6, pp. 2381-2385, 1969.

99
M. Francombe, ``Ferroelectric films and Their Device Applications,'' Thin Solid Films, vol. 13, no. 2, pp. 413-433, 1972.

100
B. Sharma, S. Vogel, and P. Prentky, ``Retention in Thin Ferroelectric Films,'' Ferroelectrics, vol. 5, no. 1, pp. 69-75, 1973.

101
W. Känzig, Solid State Physics: Advances in Research and Applications, vol. 4.
Academic Press Inc., 1957.

102
M. Lines and A. Glass, Principles and Applications of Ferroelectrics and Related Materials.
Clarendon Press, Oxford, 1979.

103
J. Valasek, ``Piezo-Electric and Allied Phenomena in Rochelle Salt,'' Physical Review, vol. 17, no. 4, pp. 475-481, April 1921.

104
J. Valasek, ``Minutes of the Washington Meeting, April 23 and 24, 1920: Piezoelectric and Allied Phenomena in Rochelle Salt,'' Physical Review, vol. 15, no. 6, p. 537, June 1920.

105
A. Tagantsev, I. Stolichnov, E. Colla, and N. Setter, ``Polarization Fatigue in Ferroelectric Films: Basic Experimental Findings, Phenomenological Scenarios, and Microscopic Features,'' J.Appl.Phys., vol. 90, no. 3, pp. 1387-1402, 2001.

106
H. Al-Shareef, D. Dimos, W. Warren, and B. Tuttle, ``Voltage Offsets and Imprint Mechanism in $ Sr Bi_{2} Ta_{2} O_{9}$ Thin Films,'' J.Appl.Phys., vol. 80, no. 8, pp. 4573-4577, 1996.

107
M. Grossmann, O. Lohse, D. Bolten, U. Boettger, R. Waser, W. Hartner, M. Kastner, and G. Schindler, ``Lifetime Estimation Due to Imprint Failure in Ferroelectric $ Sr Bi_{2} Ta_{2} O_{9}$ Thin Films,'' Appl.Phys.Lett., vol. 76, no. 3, pp. 363-365, 2000.

108
A. Gruverman, B. Rodriguez, A. Kingon, R. Nemanich, J. Cross, and M. Tsukada, ``Spatial Inhomogeneity of Imprint and Switching Behavior in Ferroelectric Capacitors,'' Appl.Phys.Lett., vol. 82, no. 18, pp. 3071-3073, 2003.

109
B. H. Park, B. S. Kang, S. D. Bu, T. W. Noh, J. Lee, and W. Jo, ``Lanthanum-Substituted Bismuth Titanate for Use in Non-Volatile Memories,'' Nature, vol. 401, no. 6754, pp. 682-684, Oct 1999.

110
A. Lin, X. Hong, V. Wood, A. A. Verevkin, C. H. Ahn, R. A. McKee, F. J. Walker, and E. D. Specht, ``Epitaxial Growth of on Si and its Nanoscale Piezoelectric Properties,'' Appl.Phys.Lett., vol. 78, no. 14, pp. 2034-2036, 2001.

111
M. Dawber, K. Rabe, and J. Scott, ``Physics of Thin-Film Ferroelectric Oxides,'' Rev. Mod. Phys., vol. 77, no. 4, pp. 1083-1130, Oct 2005.

112
H. Ishiwara, M. Okuyama, and Y. Arimoto, Ferroelectric Random Access Memories: Fundamentals and Applications, vol. 93 of Topics in Applied Physics.
Springer, 2004.

113
S. Eaton, D. Butler, M. Parris, D. Wilson, and H. McNeillie, ``A Ferroelectric Nonvolatile Memory,'' in IEEE Intl. Solid-State Circuits Conference, p. 130, Feb 1988.

114
R. Womack and D. Dolsch, ``A 16 Kb Ferroelectric Nonvolatile Memory with a Bit Parallel Architecture,'' in IEEE Intl. Solid-State Circuits Conference, pp. 242-243, Feb 1989.

115
J. Scott and C. P. de Araujo, ``Ferroelectric Memories,'' Science, vol. 246, no. 4936, pp. 1400-1405, 1989.

116
C. P. de Araujo, J. Cuchiaro, L. McMillan, M. Scott, and J. Scott, ``Fatigue-Free Ferroelectric Capacitors with Platinum Electrodes,'' Letters to Nature, vol. 374, pp. 627-629, April 1995.

117
E. Choi, N. Kim, S. Kweon, H. Sun, S. Yeom, J. Kim, J. Roh, J. Kim, and Y. Park, ``Integration of Novel Capacitor Structure for High Density FeRAM with Barrier Metal and ,'' Integrated Ferroelectrics: An International Journal, vol. 66, no. 1, pp. 107-113, 2004.

118
S. Sinharoy, H. Buhay, D. Lampe, and M. Francombe, ``Integration of Ferroelectric Thin Films Into Nonvolatile Memories,'' J.Vac.Sci.Technol.A, vol. 10, no. 4, pp. 1554-1561, 1992.

119
H. Volz, K. Koger, and H. Schmitt, ``Preparation, Properties and Application of Thin Ferroelectric Films of PLZT,'' Ferroelectrics, vol. 56, no. 1, pp. 1165-1168, 1984.

120
T. Hayashi, Y. Igarashi, D. Inomata, T. Ichimori, T. Mitsuhashi, K. Ashikaga, T. Ito, M. Yoshimaru, M. Nagata, S. Mitarai, H. Godaiin, T. Nagahama, C. Isobe, H. Moriya, M. Shoji, Y. Ito, H. Kuroda, and M. Sasaki, ``A Novel Stack Capacitor Cell for High Density FeRAM Compatible with CMOS Logic,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 543-546, Dec 2002.

121
H. Kim, S. Yamamoto, and H. Ishiwara, ``Improvement of Data Readout Disturbance Effect in 1T2C-Type Ferroelectric Memory,'' Integrated Ferroelectrics: An International Journal, vol. 67, no. 1, pp. 271-280, 2004.

122
F. Chu, G. Fox, and T. Davenport, ``High Endurance Scaled PLZT Thin Films for FRAM Applications,'' Integrated Ferroelectrics: An International Journal, vol. 36, no. 1, pp. 43-52, 2001.

123
F. Chu, G. Fox, T. Davenport, Y. Miyaguchi, and K. Suu, ``The Control of Pb Loss for PZT Based FRAM,'' Integrated Ferroelectrics: An International Journal, vol. 48, no. 1, pp. 161-169, 2002.

124
A. Itoh, Y. Hikosaka, T. Saito, H. Naganuma, H. Miyazawa, Y. Ozaki, Y. Kato, S. Mihara, H. Iwamoto, S. Mochizuki, M. Nakamura, and T. Yamazaki, ``Mass-Productive High Performance $ 0.5\,\mu\mathrm{m}$ Embedded FRAM Technology with Triple Layer Metal,'' in IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33, 2000.

125
W. Kraus, L. Lehman, D. Wilson, T. Yamazaki, C. Ohno, E. Nagai, H. Tamazaki, and H. Suzuki, ``A $ 1$ Mb Nonvolatile Ferroelectric Memory Utilizing Advanced Architecture for Enhanced Reliability,'' in IEEE Symposium on VLSI Curcuits Technology Digest of Technical Papers, pp. 242-245, June 1998.

126
E. Fujii and K. Uchiyama, ``First SBT-Based Embedded FeRAM Technology with Hydrogen Damage Free Stacked Cell Structure,'' Integrated Ferroelectrics: An International Journal, vol. 53, no. 1, pp. 317-323, 2003.

127
H. Joo, Y. Song, H. Kim, S. Kang, J. Park, Y. Kang, H. Rhie, S. Lee, and K. Kim, ``Improvement in Reliability of FRAM Using Novel MOCVD PZT Technology,'' Integrated Ferroelectrics: An International Journal, vol. 68, no. 1, pp. 139-145, 2004.

128
Y. Horii, Y. Hikosaka, A. Itoh, K. Matsuura, M. Kurasawa, G. Komuro, K. Maruyama, T. Eshita, and S. Kashiwagi, ``4 Mbit Embedded FRAM for High Performance System on Chip (SoC) with Large Switching Charge, Reliable Retention and High Imprint Resistance,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 539-542, Dec 2002.

129
T. Moise, S. Summerfelt, G. Xing, L. Colombo, T. Sakoda, S. Gilbert, A. Loke, S. Ma, R. Kavari, L. Wills, T. Hsu, J. Amano, S. Johnston, D. Vestyck, M. Russell, and S. Bilodeau, ``Electrical Properties of Submicron (⩾ ) Ir/PZT/Ir Capacitors Formed on W Plugs,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 940-942, Dec 1999.

130
D. Takashima and Y. Oowaki, Ferroelectric Random Access Memories, vol. 93 of Topics in Applied Physics.
Springer, 2004.

131
H. McAdams, R. Acklin, T. Blake, X.-H. Du, J. Eliason, J. Fong, W. Kraus, D. Liu, S. Madan, T. Moise, S. Natarajan, N. Qian, Y. Qiu, K. Remack, J. Rodriguez, J. Roscher, A. Seshadri, and S. Summerfelt, ``A -Mb Embedded FRAM Utilizing a -nm 5LM Cu/FSG Logic Process,'' IEEE J.Solid-State Circuits, vol. 39, no. 4, pp. 667-677, April 2004.

132
T. Moise, S. Summerfelt, H. McAdams, S. Aggarwal, K. Udayakumar, F. Celii, J. Martin, G. Xing, L. Hall, K. Taylor, T. Hurd, J. Rodriguez, K. Remack, M. Khan, K. Boku, G. Stacey, M. Yao, M. Albrecht, E. Zielinski, M. Thakre, S. Kuchimanchi, A. Thomas, B. McKee, J. Rickes, A. Wang, J. Grace, J. Fong, D. Lee, C. Pietrzyk., R. Lanham, S. Gilbert, D. Taylor, J. Amano, R. Bailey, F. Chu, G. Fox, S. Sun, and T. Davenport, ``Demonstration of a $ 4$ Mb, High Density Ferroelectric Memory Embedded within a , $ 5$ LM Cu/FSG Logic Process,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 535-538, Dec 2002.

133
H. Kohlsted and H. Ishiwara, Nanoelectronics and Information Technology: Advanced Electronic Materials and Novel Devices.
Wiley-VCH, Dec 2003.

134
H. Ishiwara, ``Current Status of Fabrication and Integration of Ferroelectric-Gate FET's,'' in Ferroelectric Thin Films VII, vol. 596, 1999.

135
Y. Arimoto and H. Ishiwara, ``Current Status of Ferroelectric Random-Access Memory,'' Materials Research Society Bulletin, vol. 29, no. 11, pp. 823-828, Nov 2004.

136
I. Ross, ``Semiconductive Translating Device,'' May 1957.
Patent: 2791760.

137
K. Takahashi, K. Manabe, A. Morioka, T. Ikarashi, T. Yoshihara, H. Watanabe, and T. Tatsumi, ``High-Mobility Dual Metal Gate MOS Transistors with High-k Gate Dielectrics,'' Japanese Journal of Applied Physics, vol. 44, no. 4B, pp. 2210-2213, 2005.

138
S. Chambers, Y. Liang, Z. Yu, R. Droopad, J. Ramdani, and K. Eisenbeiser, ``Band Discontinuities at Epitaxial Heterojunctions,'' Appl.Phys.Lett., vol. 77, no. 11, pp. 1662-1664, 2000.

139
C. Först, C. Ashman, K. Schwarz, and P. Blöchl, ``The Interface Between Silicon and a High-k Oxide,'' Nature, vol. 427, no. 6969, pp. 53-56, Jan 2004.

140
R. McKee, F. Walker, and M. Chisholm, ``Crystalline Oxides on Silicon: The First Five Monolayers,'' Physical Review Letters, vol. 81, no. 14, pp. 3014-3017, Oct 1998.

141
T. Furukawa, ``Ferroelectric Properties of Vinylidene Fluoride Copolymers,'' Phase Transitions: A Multinational Journal, vol. 18, no. 3, pp. 143-211, 1989.

142
T. Furukawa, S. Kanai, A. Okada, Y. Takahashi, and R. Yamamoto, ``Ferroelectric Switching Dynamics in VDF-TrFE Copolymer Thin Films Spin Coated on Si Substrate,'' J.Appl.Phys., vol. 105, no. 6, p. 061636, 2009.

143
S. Lim, A. Rastogi, and S. Desu, ``Electrical Properties of Metal-Ferroelectric-Insulator-Semiconductor Structures Based on Ferroelectric Polyvinylidene Fluoride Copolymer Film Gate for Nonvolatile Random Access Memory Application,'' J.Appl.Phys., vol. 96, no. 10, pp. 5673-5682, 2004.

144
T. Reece, S. Ducharme, A. Sorokin, and M. Poulsen, ``Nonvolatile Memory Element Based on a Ferroelectric Polymer Langmuir-Blodgett Film,'' Appl.Phys.Lett., vol. 82, no. 1, pp. 142-144, 2003.

145
R. Naber, C. Tanase, P. Blom, G. Gelinck, A. Marsman, F. Touwslager, S. Setayesh, and D. de Leeuw, ``High-Performance Solution-Processed Polymer Ferroelectric Field-Effect Transistors,'' Nat. Mater., vol. 4, no. 3, pp. 243-248, March 2005.

146
G. Gelinck, A. arsman, F. Touwslager, S. Setayesh, D. de Leeuw, R. Naber, and P. Blom, ``All-Polymer Ferroelectric Transistors,'' Appl.Phys.Lett., vol. 87, no. 9, p. 092903, 2005.

147
R. Schroeder, L. Majewski, and M. Grell, ``All-Organic Permanent Memory Transistor Using an Amorphous, Spin-Cast Ferroelectric-like Gate Insulator,'' Advanced Materials, vol. 16, no. 7, pp. 633-636, 2004.

148
Michael C. Pirrung, ``How to Make a DNA Chip,'' Angew. Chem. Int. Ed., vol. 41, pp. 1276-1289, 2002.

149
M. W. Shinwari, M. J. Deen, and D. Landheer, ``Study of the Electrolyte-Insulator-Semiconductor Field-Effect Transistor (EISFET) with Applications in Biosensor Design,'' Microelectronics Reliability, vol. 47, no. 12, pp. 2025-2057, Dec 2007.

150
J. Fritz, E. Cooper, S. Gaudet, P. Soger, and S. Manalis, ``Electronic Detection of DNA by its Intrinsic MolecularCharge,'' PNAS, vol. 99, no. 22, pp. 1412-1416, Oct 2002.

151
J. Hahm and C. Lieber, ``Direct Ultrasensitive Electrical Detection of DNA and DNA Sequence Variations Using Nanowire Nanosensors,'' Nano Letters, vol. 4, no. 1, pp. 51-54, 2004.

152
G. Zheng, F. Patolsky, Y. Cui, W. U. Wang, and C. M. Lieber, ``Multiplexed Electrical Detection of Cancer Markers with Nanowire Sensor Arrays,'' Nature Biotechnology, vol. 23, no. 10, pp. 1294-1301, 2005.

153
H. Im, X. . Huang, B. Gu, and Y. . Choi, ``A Dielectric-Modulated Field-Effect Transistor for Biosensing,'' Nature Nanotechnology, vol. 2, no. 7, pp. 430-434, 2007.

154
Y. Cui, Q. Wei, H. Park, and C. M. Lieber, ``Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species,'' Science, vol. 293, no. 5533, pp. 1289-1292, Aug 2001.

155
S. Gupta, M. Elias, X. Wen, J. Shapiro, and L. Brillson, ``Detection of Clinical Relevant Levels of Protein Analyte Under Physiologic Buffer Using Planar Field Effect Transistors,'' Biosensors and Bioelectronics, vol. 24, pp. 505-511, 2008.

156
E. Stern, J. Klemic, D. Routenberg, P. Wyrembak, D. Turner-Evans, A. Hamilton, D. LaVan, T. Fahmy, and M. Reed, ``Lable-Free Immunodetection with CMOS-Compatible Semiconducting Nanowires,'' Nature Letters, vol. 445, no. 1, pp. 519-522, Feb 2007.

157
K. Park, S. Lee, Y. Sohn, and S. Choi, ``BioFET Sensor for Detection of Albumin in Urine,'' Electronic Letters, vol. 44, no. 3, Jan 2008.

158
A. Girard, F. Bendria, O. D. Sagazan, M. Harnois, F. L. Bihan, A. Salaün, T. Mohammed-Brahim, P. Brissot, and O. Loréal, ``Transferrin Electronic Detector for Iron Disease Diagnostics,'' IEEE Sensors, pp. 474-477, Oct 2006.

159
K. Park, Y. Sohn, C. Kim, H. Kim, Y. Bae, and S. Choi, ``Development of FET-Type Albumin Sensor for Diagnosing Nephritis,'' Biosensors and Bioelectronics, vol. 23, no. 12, pp. 1904-1907, 2008.

160
M. J. Deen, M. W. Shinwari, J. C. Ranuárez, and D. Landheer, ``Noise Considerations in Field-Effect Biosensors,'' J.Appl.Phys., vol. 100, no. 7, pp. 074703-1 -074703-8, 2006.

161
G. Bir and G. Pikus, Symmetry and Strain-Induced Effects in Semiconductors.
New York - Toronto: J.Wiley & Sons, 1974.

162
C. Kittel, Introduction to Solid State Physics. 7'th Edition.
John Wiley & Sons, 1996.

163
J. Singh, Physics of Semiconductors and their Heterostrucutres.
McGraw-Hill, 1993.

164
N. Ashcroft and N. Mermin, Solid State Physics.
Fort Worth: Harcourt College Publishers, 1976.

165
J. Bardeen and W. Shockley, ``Deformation Potentials and Mobilities in Non-Polar Crystals,'' Physical Review, vol. 80, no. 1, pp. 72-80, Oct 1950.

166
C. Herring and E. Vogt, ``Transport and Deformation-Potential Theory for Many-Valley Semiconductors with Anisotropic Scattering,'' Physical Review, vol. 101, no. 3, pp. 944-961, 1956.

167
J. M. Hinckley and J. Singh, ``Influence of Substrate Composition and Crystallographic Orientation on the Band Structure of Pseudomorphic Si-Ge Alloy Films,'' Physical Review B, vol. 42, no. 6, pp. 3546-3566, Aug 1990.

168
M. Fischetti and S. Laux, ``Band Structure, Deformation Potentials, and Carrier Mobility in Si, Ge, and SiGe Alloys,'' J.Appl.Phys., vol. 80, no. 4, pp. 2234-2252, 1996.

169
I. Balslev, ``Influence of Uniaxial Stress on the Indirect Absorption Edge in Silicon and Germanium,'' Physical Review, vol. 143, pp. 636-647, 1966.

170
J. C. Hensel, H. Hasegawa, and M. Nakayama, ``Cyclotron Resonance in Uniaxially Stressed Silicon. II. Nature of the Covalent Bond,'' Physical Review, vol. 138, no. 1A, pp. A225-A238, April 1965.

171
L. D. Laude, F. H. Pollak, and M. Cardona, ``Effects of Uniaxial Stress on the Indirect Exciton Spectrum of Silicon,'' Physical Review B, vol. 3, no. 8, pp. 2623-2636, April 1971.

172
M. Cardona and F. H. Pollak, ``Energy-Band Structure of Germanium and Silicon: The k$ \cdot $p Method,'' Physical Review, vol. 142, no. 2, pp. 530-543, 1966.

173
J. M. Luttinger and W. Kohn, ``Motion of Electrons and Holes in Perturbed Periodic Fields,'' Physical Review, vol. 97, no. 4, pp. 869-883, 1955.

174
F. Seitz, ``The Theoretical Constitution of Metallic Lithium,'' Physical Review, vol. 47, no. 5, pp. 400-412, March 1935.

175
E. Kane, ``Energy Band Structure in p-Type Germanium and Silicon,'' J.Phys.Chem.Solids, vol. 1, no. 1-2, pp. 82-99, 1956.

176
M. Tinkham, Group Theory and Quantum Mechanics.
Dover Publications, Dec 1964.

177
S. E. Ungersböck, Advanced Modeling of Strained CMOS Technology.
Dissertation, Technische Universität Wien, 2007.

178
K. Uchida, A. Kinoshita, and M. Saitoh, ``Carrier Transport in (110) nMOSFETs: Subband Structure, Non-Parabolicity, Mobility Characteristics, and Uniaxial Stress Engineering,'' in IEEE Proc. Intl. Electron Devices Meeting, pp. 1019-1021, Dec 2006.

179
V. Sverdlov, E. Ungersboeck, H. Kosina, and S. Selberherr, ``Effects of Shear Strain on the Conduction Band in Silicon: An Efficient Two-Band k$ \cdot $p Theory,'' in European Solid-State Device Research Conference, pp. 386-389, 2007.

180
E. Ungersboeck, S. Dhar, G. Karlowatz, V. Sverdlov, H. Kosina, and S. Selberherr, ``The Effect of General Strain on the Band Structure and Electron Mobility of Silicon,'' IEEE Trans.Electron Devices, vol. 54, no. 9, pp. 2183-2190, 2007.

181
V. Sverdlov and S. Selberherr, ``Electron Subband Structure and Controlled Valley Splitting in Silicon Thin-Body SOI FETs: Two-Band k$ \cdot $p Theory and Beyond,'' Solid-State Electron., vol. 52, no. 12, pp. 1861-1866, 2008.

182
T. Ando, A. Fowler, and F. Stern, ``Electronic Properties of Two-Dimensional Systems,'' Rev. Mod. Phys., vol. 54, no. 2, pp. 437-672, April 1982.

183
T. Boykin, G. Klimeck, M. Friesen, S. Coppersmith, P. von Allmen, F. Oyafuso, and S. Lee, ``Valley Splitting in Low-Density Quantum-Confined Heterostructures Studied Using Tight-Binding Models,'' Physical Review B, vol. 70, no. 16, p. 165325, Oct 2004.

184
T. Boykin, G. Klimeck, M. Eriksson, M. Friesen, S. Coppersmith, P. von Allmen, F. Oyafuso, and S. Lee, ``Valley Splitting in Strained Si Quantum Wells,'' Appl.Phys.Lett., vol. 84, no. 1, pp. 115-117, 2004.

185
D. Esseni and P. Palestri, ``Linear Combination of Bulk Bands Method for Investigating the Low-Dimensional Electron Gas in Nanostructured Devices,'' Physical Review B, vol. 72, no. 16, p. 165342, Oct 2005.

186
D. Rideau, M. Feraille, M. Michaillat, Y. Niquet, C. Tavernier, and H. Jaouen, ``On the Validity of the Effective Mass Approximation and the Luttinger k$ \cdot $p Model in Fully Depleted SOI MOSFETs,'' Solid-State Electron., vol. 53, no. 4, pp. 452-461, April 2009.
Special Issue with papers selected from the Ultimate Integration on Silicon Conference, ULIS 2008.

187
V. Sverdlov, O. Baumgartner, T. Windbacher, and S. Selberherr, ``Modeling of Modern MOSFETs with Strain,'' J.Comp.Electronics, 2009.

188
P. Bergveld, ``Development of an Ion-Sensitive Solid-State Device for Neurophysiological Measurements,'' IEEE Trans. on Biomedical Engineering, vol. 17, no. 1, pp. 70-71, Jan 1970.

189
I. Willner and E. Katz, Bioelectronics: From Theory to Applications.
Wiley-VCH, 1 ed., May 2005.

190
P. Delahay, Double Layer and Electrode Kinetics.
New York: Interscience Publishers, 1965.

191
F. Walsh, A First Course in Electrochemical Engineering.
Electrochemical Consultancy, 1993.

192
E. Gileadi, E. Kirowa-Eisner, and J. Penciner, Interfacial Electrochemistry: An Experimental Approach.
Addison-Wesley Publishing Company, 1975.

193
D. Pletcher, A First course in Electrode Processes.
Alresford Press Limited, 1991.

194
I. Levine, Physical Chemistry.
New York: McGraw-Hill, 1995.

195
W. Coffey and B. Scaife, ``On the Theory of Dielectric Saturation of Polar Fluids,'' in Proc. Royal Irish Academy, vol. 76, pp. 195-216, 1976.

196
D. Landheer, G. Aers, W. McKinnon, M. Deen, and J. Ranuárez, ``Model for the Field Effect from Layers of Biological Macromolecules on the Gates of Meta-Oxide-Semiconductor Transistors,'' J.Appl.Phys., vol. 98, no. 4, pp. 044701-1 - 044701-15, 2005.

197
L. Bousse, ``Single Electrode Potentials Related to Flat-Band Voltage Measurements on EOS and MOS Structures,'' J.Chem.Phys., vol. 76, no. 10, pp. 5128-5133, 1982.

198
D. E. Yates, S. Levine, and T. W. Healy, ``Site-Binding Model of the Electrical Double Layer at the Oxide/Water Interface,'' Journal of the Chemical Society, vol. Faraday Transactions 1: Physical Chemistry in Condensed Phases, no. 70, pp. 1807 - 1818, 1974.

199
J. Xu, X. Luo, and H. Chen, ``Analytical Aspects of FET-Based Biosensors,'' Frontiers in Bioscience, vol. 10, pp. 420-430, Jan 2005.

200
L. Bousse, S. Mostarshed, B. Van Der Shoot, N. F. De Rooij, P. Gimmel, and W. Gopel, ``Zeta Potential Measurements of $ \rm {Ta_{2}O_{5}}$ and $ \rm {SiO_{2}}$ Thin Films,'' Journal of Colloid and Interface Science, vol. 147, no. 1, pp. 22-32, 1991.

201
M. Giesbers, J. M. Kleijn, and M. A. C. Stuart, ``The Electrical Double Layer on Gold Probed by Electrokinetic and Surface Force Measurements,'' Journal of Colloid and Interface Science, vol. 248, no. 1, pp. 88 - 95, 2002.

202
A. Morgenshtein, Design and Methodology for ISFET (Ion Sensitive Field-Effect Transistors) Microsystems for Biotelemetry.
M.Sc. Thesis, Israel Institute of Technology, Haifa, 2003.

203
P. Bergveld, ``The Development and Application of FET-Based Biosensors,'' Biosensors, vol. 2, no. 1, pp. 15-34, 1986.

204
L. Bousse, N. De Rooij, and P. Bergveld, ``Operation of Chemically Sensitive Field-Effect Sensors as a Function of the Insulator-Electrolyte Interface,'' IEEE Trans.Electron Devices, vol. 30, no. 10, pp. 1263-1270, Oct 1983.

205
Y. Tsividis, Operation and Modeling of the MOS Transistor.
New York: McGraw-Hill Book Company, 1995.

206
C. Fung, P. Cheung, and W. Ko, ``A Generalized Theory of an Electrolyte-Insulator-Semiconductor Field-Effect Transistor,'' IEEE Trans.Electron Devices, vol. 33, no. 1, pp. 8-18, Jan 1986.

207
``http://kerouac.pharm.uky.edu/asrg/hplc/detectors.html.''
University of Kentucky, Analytical Spectroscopy Research Group, Lecture.

208
M. J. Schöning, ````Playing Around'' with Field-Effect Sensors on the Basis of EIS Structures, LAPS and ISFETs,'' Sensors, vol. 5, no. 3, pp. 126-138, 2005.

209
S. Mohri, J. Shimizu, N. Goda, T. Miyasaka, A. Fujita, M. Nakamura, and F. Kajiya, ``Measurements of $ CO_{2}$, Lactic Acid and Sodium Bicarbonate Secreted by Cultured Cells Using a Flow-Through Type pH/$ CO_{2}$ Sensor System Based on ISFET,'' Sensors and Actuators B: Chemical, vol. 115, no. 1, pp. 519-525, 2006.

210
B. Palán, F. V. Santos, J. M. Karam, B. Courtois, and M. Husák, ``New ISFET Sensor Interface Circuit for Biomedical Applications,'' Sensors and Actuators B: Chemical, vol. 57, no. 1-3, pp. 63-68, 1999.

211
M. Yuqing, G. Jianguo, and C. Jianrong, ``Ion Sensitive Field Effect Transducer-Based Biosensors,'' Biotechnology Advances, vol. 21, no. 6, pp. 527-534, 2003.

212
A. Kharitonov, M. Zayats, L. Alfonta, E. Katz, and I. Willner, ``A Novel ISFET-Based $ N\!AD^{+}$-Dependent Enzyme Sensor for Lactate,'' Sensors and Actuators B: Chemical, vol. 76, no. 1-3, pp. 203-210, 2001.

213
F. Patolsky, G. Zheng, and C. M. Lieber, ``Fabrication of Silicon Nanowire Devices for Ultrasensitive, Label-Free, Real-Time Detection of Biological and Chemical Species,'' Nat. Protocols, vol. 1, no. 4, pp. 1711-1724, Nov 2006.

214
J. S. Liu, Monte Carlo Strategies in Scientific Computing.
New York Berlin Heidelberg: Springer, 2001.

215
J. Zhou, L. Zhang, Y. Leng, H.-K. Tsao, Y.-J. Sheng, and S. Jiang, ``Unbinding of the Streptavidin-Biotin Complex by Atomic Force Microscopy: A Hybrid Simulation Study,'' J.Chem.Phys., vol. 125, no. 10, p. 104905, 2006.

216
H. Grubmüller, ``Force Probe Molecular Dynamics Simulations,'' Springer Protocols, vol. 305, March 2005.

217
S. Selberherr, Analysis and Simulation of Semiconductor Devices.
Springer, 1984.

218
T.-W. Tang and M.-K. Ieong, ``Discretization of Flux Densities in Device Simulations Using Optimum Artificial Diffusivity,'' IEEE Trans.Computer-Aided Design of Integrated Circuits and Systems, vol. 14, no. 11, pp. 1309-1315, Nov 1995.

219
C. Heitzinger, R. Kennell, G. Klimeck, N. Mauser, M. McLennan, and C. Ringhofer, ``Modeling and Simulation of Field-Effect Biosensors (BioFETs) and Their Deployment on the nanoHUB,'' J. Phys.: Conf. Ser., vol. 107, pp. 012004/1-12, 2008.

220
C. Ringhofer and C. Heitzinger, ``Multi-Scale Modeling and Simulation of Field-Effect Biosensors,'' ECS Transactions, vol. 14, no. 1, pp. 11-19, 2008.

221
C. Heitzinger and G. Klimeck, ``Computational Aspects of the Three-Dimensional Feature-Scale Simulation of Silicon-Nanowire Field-Effect Sensors for DNA Detection,'' J. Comput. Electron., vol. 6, no. 1-3, pp. 387-390, 2007.

222
N. A. Baker, D. Sept, S. J., M. J. Holst, and J. A. McCammon, ``Electrostatics of Nanosystems: Application to Microtubules and the Ribosome,'' in Proceedings of the National Academy of Sciences of the United States of America, vol. 98, pp. 10037-10041, Aug 2001.

223
M. J. Holst and F. Saied, ``Multigrid Solution of the Poisson-Boltzmann Equation,'' J. Comput. Chem., vol. 14, pp. 105-113, 1993.

224
M. J. Holst and F. Saied, ``Numerical Solution of the Nonlinear Poisson-Boltzmann Equation: Developing More Robust and Efficient Methods,'' J. Comput. Chem., vol. 16, pp. 337-364, 1995.

225
T. Windbacher, V. Sverdlov, and S. Selberherr, ``Modeling of Low Concentrated Buffer DNA Detection with Suspend Gate Field-Effect Transistors (SGFET),'' in Proc. Intl. Workshop on Computational Electronics, pp. 169-172, 2009.

226
P. Debye and E. Hückel, ``Zur Theorie der Elekrolyte: I. Gefrierpunktserniedrigung und verwandte Erscheinungen.,'' Physikalische Zeitschrift, vol. 24, no. 9, pp. 185-206, May 1923.

227
L. Landau and B. Deryagin), ``Theory of Stability Strongly Charged Lyophobic Soles and Coalescence of Strongly Charged Particles in Solutions of Electrolytes,'' Acta Phys.-Chim, vol. 14, p. 633, 1941.

228
L. Stryer, Biochemistry 4th Edition.
New York: W.H. Freeman and Company, 1995.

229
S. W. Oh, J. D. Moon, H. J. Lim, S. Y. Park, T. Kim, J. Park, M. H. Han, M. Snyder, and E. Y. Choi, ``Calixarene Derivative as a Tool for Highly Sensitive Detection and Oriented Immobilization of Proteins in a Microarray Format Through Noncovalent Molecular Interaction,'' FASEB Journal, vol. 19, no. 10, pp. 1335-1337, 2005.

230
R. Wacker, H. Schröder, and C. M. Niemeyer, ``Performance of Antibody Microarrays Fabricated by Either DNA-Directed Immobilization, Direct Spotting, or Streptavidin-Biotin Attachment: A Comparative Study,'' Analytical Biochemistry, vol. 330, no. 2, pp. 281-287, 2004.

231
W. Kusnezow, A. Jacob, A. Walijew, F. Diehl, and J. D. Hoheisel, ``Antibody Microarrays: An Evaluation of Production Parameters,'' Proteomics, vol. 3, no. 3, pp. 254-264, 2003.

232
P. Peluso, D. S. Wilson, D. Do, H. Tran, M. Venkatasubbaiah, D. Quincy, B. Heidecker, K. Poindexter, N. Tolani, M. Phelan, K. Witte, L. S. Jung, P. Wagner, and S. Nock, ``Optimizing Antibody Immobilization Strategies for the Construction of Protein Microarrays,'' Analytical Biochemistry, vol. 312, no. 2, pp. 113-124, 2003.

233
J. Turková, ``Oriented Immobilization of Biologically Active Proteins as a Tool for Revealing Protein Interactions and Function,'' Journal of Chromatography B: Biomedical Sciences and Applications, vol. 722, no. 1-2, pp. 11-31, 1999.

234
M. Harnois, O. Sagazan, A. Girard, A.-C. Salaün, and T. Mohammed-Brahim, ``Low Concentrated DNA Detection by SGFET,'' in Transducers & Eurosensors, (Lyon, France), pp. 1983-1986, June 2007.

235
A. Poghossian, A. Cherstvy, S. Ingebrandt, A. Offenhäusser, and M. Schöning, ``Possibilities and Limitations of Label-Free Detection of DNA Hybridization with Field-Effect-Based Devices,'' Sensors and Actuators, B: Chemical, vol. 111-112, pp. 470-480, 2005.

236
T. Windbacher, V. Sverdlov, S. Selberherr, C. Heitzinger, N. Mauser, and C. Ringhofer, ``Simulation of Field-Effect Biosensors (BioFETs),'' in Proc. Simulation of Semiconductor Processes and Devices, pp. P18/1-4, Sep 2008.

237
M. J. Deen, ``Highly Sensitive, Low-Cost Integrated Biosensors,'' in SBCCI 2007: 20th Symposium on Integrated Circuits and System Design, p. 1, 2007.


next up previous contents
Next: Own Publications Up: Dissertation T. Windbacher Previous: E. Flatband Potential and MOSFET Properties

T. Windbacher: Engineering Gate Stacks for Field-Effect Transistors