next up previous contents
Next: Own Publications Up: Dissertation Robert Wittmann Previous: A. Wafer-State-Server Environment

Bibliography

1
C. Y. Chang and S. M. Sze, ULSI Devices.
Wiley, 2000.

2
``International Technology Roadmap for Semiconductors, 2005 Edition,'' 2005.

URL: http://www.itrs.net.

3
IEEE Spectrum Online, Chip Making's Singular Future, 2006.

URL: http://www.spectrum.ieee.org.

4
Technische Universität Dresden, AMD, Infineon und ZMD, Dresdner Sommerschule für Mikroelektronik, 2004.

5
S. Thompson, M. Alavi, M. Hussein, P. Jacob, C. Kenyon, P. Moon, M. Prince, S. Sivakumar, S. Tyagi, and M. Bohr, ``130nm Logic Technology Featuring 60nm Transistors, Low-K Dielectrics, and Cu Interconnects,'' Intel Technology Journal, vol. 6, no. 2, pp. 5-13, 2002.

6
B. Doyle, R. Arghavani, D. Barlage, S. Datta, M. Doczy, J. Kavalieros, A. Murthy, and R. Chau, ``Transistor Elements for 30nm Physical Gate Lengths and Beyond,'' Intel Technology Journal, vol. 6, no. 2, pp. 42-54, 2002.

7
Y. Nishi and R. Doering, Handbook of Semiconductor Manufacturing Technology.
Marcel Dekker Inc., 2000.

8
S. Tsujikawa and J. Yugami, ``Positive Charge Generation Due to Species of Hydrogen During NBTI Phenomenon in pMOSFETs with Ultra-Thin SiON Gate Dielectrics,'' Microelectronics Reliability, vol. 45, no. 2005, pp. 19-30, 2004.

9
T. Hori, Gate Dielectrics and MOS ULSIs.
Springer, 1997.

10
S. Ogura, C. F. Codella, N. Rovedo, J. F. Shepard, and J. Riseman, ``A Half Micron MOSFET Using Double Implanted LDD,'' in Proc. Int. Electron Device Meeting (IEDM), pp. 718-722, 1982.

11
S. Rathnam, H. Bahramian, D. Laurent, and Y.-P. Han, ``An Optimized 0.5 Micron LDD Transistor,'' in Proc. Int. Electron Device Meeting (IEDM), pp. 237-243, 1983.

12
A. Gehring, Simulation of Tunneling in Semiconductor Devices.
Dissertation, Technische Universität Wien, 2003.

13
J. H. Stathis, G. LaRosa, and A. Chou, ``Broad Energy Distribution of NBTI-Induced Interface States in p-MOSFETs with Ultra-Thin Nitrided Oxide,'' in Proc. Int. Reliability Physics Symp. (IRPS), pp. 1-7, 2004.

14
R. Wittmann, A. Hössinger, and S. Selberherr, ``Improvement of the Statistical Accuracy for the Three-Dimensional Monte Carlo Simulation of Ion Implantation,'' in Proc. 15th European Simulation Symposium (ESS), pp. 35-40, 2003.

15
G. Hobler and S. Selberherr, ``Monte Carlo Simulation of Ion Implantation into Two- and Three-Dimensional Structures,'' IEEE Trans. on CAD, vol. 8, no. 5, pp. 450-459, 1989.

16
J. F. Ziegler, J. P. Biersack, and U. Littmark, The Stopping and Range of Ions in Solids.
Pergamon Press, 1995.

17
J. F. Ziegler, Ion Implantation - Science and Technology.
Ion Implantation Technology Co., 1996.

18
M. T. Robinson and I. M. Torens, ``Computer Simulation of Atomic-Displacement Cascades in Solids in the Binary-Collision Approximation,'' Physical Review B, vol. 9, no. 12, pp. 5008-5024, 1974.

19
M. T. Robinson, ``Slowing-Down Time of Energetic Atoms in Solids,'' Physical Review B, vol. 40, no. 16, pp. 10717-10726, 1989.

20
K. M. Klein, C. Park, and A. F. Tasch, ``Monte Carlo Simulation of Boron Implantation into Single-Crystal Silicon,'' IEEE Trans. Electron Devices, vol. 39, no. 7, pp. 1614-1621, 1992.

21
G. Wang, S. Tian, M. F. Morris, S. J. Morris, B. J. Obradovic, G. Balamurugan, and A. F. Tasch, jr., ``Computationally Efficient Ion Implantation Damage Model: Modified Kinchin-Pease Model,'' in Proc. Int. Society Optical Engineering (SPIE), pp. 324-333, 1997.

22
Y. Chen, G. Wang, D. Li, S. K. Oak, G. Shrivastav, L. Rubin, A. F. Tasch, and S. K. Banerjee, ``A Universal Ion Implantation Model for All Species Into Single-Crystal Silicon,'' IEEE Trans. Electron Devices, vol. 49, no. 9, pp. 1519-1525, 2002.

23
M. Posselt, B. Schmidt, T. Feudel, and N. Strecker, ``Atomistic Simulation of Ion Implantation and Its Application in Si Technology,'' Materials Science and Engineering B, vol. 71, no. 1, pp. 128-136, 2000.

24
``Forschungszentrum Rossendorf at Dresden Home Page.''

URL: http://www.fzd.de/pls/rois/.

25
M. A. Alam and S. Mahapatra, ``A Comprehensive Model of PMOS NBTI Degradation,'' Microelectronics Reliability, vol. 45, no. 2005, pp. 71-81, 2004.

26
P. Kohli, R. Wise, G. Braithwaite, M. T. Currie, A. Lochtefeld, M. Rodder, J. Bennett, M. Gostowski, B. Nguyen, R. Cleavelin, S. Yu, M. Pas, J. Gelpey, S. McCoy, A. Campion, and M. Chaumont, ``Ultra-Shallow Junction Formation in Strained Si/Si $ _\mathrm{1-x}$Ge $ _\mathrm{x}$ Using Flash-Assist RTA,'' in Proc. SiGe: Materials, Processing, and Devices (ECS, ed.), vol. 2004-07, (Honolulu, USA), pp. 1113-1114, Electrochemical Society, 2004.

27
B. El-Kareh, Fundamentals of Semiconductor Processing Technology.
Boston: Kluwer Academic Publishers, 1995.

28
J. D. Plummer, M. Deal, and P. B. Griffin, Silicon VLSI Technology.
New Jersey: Prentice Hall, 2000.

29
S. M. Sze, Semiconductor Devices, Physics and Technology.
Wiley, second ed., 2001.

30
S. M. Sze, Physics of Semiconductor Devices.
Wiley, second ed., 1981.

31
B. V. Zeghbroeck, Principles of Semiconductor Devices.
Web-based book, University of Colorado at Boulder, 2004.

URL: http://ece-www.colorado.edu/bart/book.

32
D. C. Müller, Deactivation and Activation of Donors in Silicon.
Dissertation, Swiss Federal Institute of Technology Zurich, 2004.

33
W. Shockley. U.S. Patent No. 2.787.564, 1957.

34
P. H. Rose and G. Ryding, ``Concepts and Designs of Ion Implantation Equipment for Semiconductor Processing,'' Review of Scientific Instruments, vol. 77, no. 11, pp. 1-12, 2006.

35
T. N. Horsky, ``Indirectly Heated Cathode Arc Discharge Source for Ion Implantation of Semiconductors,'' Review of Scientific Instruments, vol. 69, no. 2, pp. 840-842, 1998.

36
R. Hollinger, The Physics and Technology of Ion Sources.
New York: Wiley, 2004.

37
M. Tanjyo, S. Sakai, T. Ikejiri, K. Tanaka, Y. Koga, T. Kobayashi, T. Matsumoto, M. Nakaya, Y. Kibi, T. Yamashita, T. Nagayama, N. Hamamoto, S. Umisedo, S. Yuasa, M. Naito, and N. Nagai, ``High Quality Ion Implanter EXCEED3000AH-Nx for 45nm Beyond I/I Process,'' in Proc. Int. Workshop on Junction Technology (IWJT '06), pp. 31-35, 2006.

38
K. Mera, H. Tomita, and K. Tokiguchi, ``High-Current Ion Implanter for 300-mm SIMOX Wafer Production,'' Hitachi Review, vol. 51, no. 4, pp. 113-117, 2002.

39
G. Fasching, Werkstoffe für die Elektrotechnik.
Wien: Springer-Verlag, 1994.

40
R. F. Lever and K. W. Brannon, ``A Low Energy Limit to Boron Channeling in Silicon,'' J. Appl. Phys., vol. 69, no. 9, pp. 6369-6372, 1991.

41
J. Sillanpää, Phenomenological Model for Electronic Stopping of Low-Velocity Ions in Crystalline Solids.
Dissertation, University of Helsinki, 2000.

42
A. Hössinger, Simulation of Ion Implantation for ULSI Technology.
Dissertation, Technische Universität Wien, 2000.

43
J. F. Gibbons, W. S. Johnson, and M. S. W, Projected Range Statistics.
Strandsberg: Halstead Press, 1975.

44
U. Littmark and J. F. Ziegler, ``Ranges of Energetic Ions in Matter,'' Physical Review A, vol. 23, no. 1, pp. 64-72, 1982.

45
G. Hobler, Simulation der Ionenimplantation in ein-, zwei- und dreidimensionalen Strukturen.
Dissertation, Technische Universität Wien, 1988.

46
P. Packan, ``Simulating Deep Sub-Micron Technologies: An Industrial Perspective,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 34-41, 1995.

47
K. Tietzel, Dreidimensionale analytische Simulation der Ionenimplantation.
Dissertation, Universität Erlangen-Nürnberg, 2000.

48
N. Bohr, ``The Penetration of Atomic Particles Through Matter,'' Mat. Fys. Medd. Dan. Vid. Selsk., vol. 18, no. 8, pp. 142-143, 1948.

49
A. Sommerfeld, ``Asymptotische Integration der Differentialgleichung des Thomas-Fermischen Atoms,'' Z.Phys., vol. 78, pp. 283-309, 1932.

50
G. Moliere, ``Theorie der Streuung schneller geladener Teilchen I: Einzelstreuung am abgeschirmten Coulomb-Feld,'' Z. Naturforschung, vol. 2a, pp. 133-145, 1947.

51
W. Lenz, ``Über die Anwendbarkeit der Statistischen Methoden auf Ionengitter,'' Z.F. Physik, vol. 77, pp. 713-722, 1932.

52
G. Hobler, H. Pötzl, L. Gong, and H. Ryssel, ``Two-Dimensional Monte Carlo Simulation of Boron Implantation in Crystalline Silicon,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), vol. 4, pp. 389-398, 1991.

53
G. Hobler, A. Simionescu, L. Palmetshofer, F. Jahnel, R. Criegern, C. Tian, and G. Stingeder, ``Verification of Models for the Simulation of Boron Implantation into Crystalline Silicon,'' J. Vacuum Science Technology B, vol. 14, no. 1, pp. 272-277, 1996.

54
M. Blackman, ``The Specific Heat of Solids,'' Handbuch der Physik, vol. 7, no. 1, pp. 325-382, 1955.

55
S. Alliney, F. Malaguti, and E. Verondini, ``The Effect of Correlated Thermal Vibrations on Crystal Blocking Patterns,'' Nuclear Instruments Methods B, vol. B 28, pp. 10-16, 1987.

56
C. Kittel, Introduction to Solid State Physics.
New York: Wiley, sixth ed., 1986.

57
A. Dygo, P. J. M. Smulders, and D. O. Boerma, ``Simulation Analysis of Ion Channeling Spectra: Thermal Vibrational Amplitude in Si,'' Nuclear Instruments Methods B, vol. 64, pp. 701-705, 1992.

58
M. Jaraiz, J. Arias, E. Rubio, L. A. Marques, L. Pelaz, L. Bailon, and J. Barbolla, ``Dechanneling by Thermal Vibrations in Siliocn Ion Implantation,'' in Proc. Int. Conf. on Ion Implantation Technology, pp. 219-222, 1994.

59
A. M. Law and W. D. Kelton, Simulation Modeling and Analysis.
McGraw-Hill, third ed., 2000.

60
J. Lindhard and M. Scharff, ``Energy Dissipation by Ions in the keV Region,'' Physical Review, vol. 124, no. 1, pp. 128-130, 1961.

61
O. S. Oen and M. T. Robinson, ``Computer Studies of the Reflection of Light Ions from Solids,'' Nuclear Instruments Methods, vol. 132, pp. 647-653, 1976.

62
K. M. Klein, C. Park, and A. F. Tasch, ``Monte Carlo Simulation of Ion Implantation into Single-Crystal Silicon Including New Models for Electronic Stopping and Cumulative Damage,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 745-748, 1990.

63
G. Hobler, A. Simionescu, L. Palmetshofer, F. Jahnel, R. Criegern, C. Tian, and G. Stingeder, ``Boron Channeling Implantations in Silicon: Modeling of Electronic Stopping and Damage Accumulation,'' J. Appl. Phys., vol. 77, no. 8, pp. 3697-3703, 1995.

64
G. Hobler, Physikalische Modellierung der Ionenimplantation in Silizium.
Habilitationsschrift, Technische Universität Wien, 1995.

65
M. J. Norgett, M. T. Robinson, and I. M. Torrens, ``A Proposed Method of Calculating Displacement Dose Rates,'' in Nuclear Engineering and Design, vol. 33, pp. 50-54, 1975.

66
J. P. Biersack and L. G. Haggmark, ``A Monte Carlo Computer Program for the Transport of Energetic Ions in Amorphous Targets,'' Nuclear Instruments and Methods, no. 174, pp. 257-269, 1980.

67
J. Lindhard, V. Nielsen, M. Scharff, and P. Thomsen, ``Integral Equations Governing Radiation Effects,'' Mat. Fys. Medd. Dan. Vid. Selsk., vol. 33, no. 10, pp. 1-42, 1963.

68
M. T. Robinson, ``The Energy Dependence of Neutron Radiation Damage in Solids,'' in Nuclear Fusion Reactor Conf., (Culham Laboratory), pp. 364-378, British Nuclear Energy Society, 1969.

69
K. M. Klein, C. Park, and A. F. Tasch, ``Modeling of Cumulative Damage Effects on Ion-Implantation Profiles,'' Nuclear Instruments Methods B, vol. 59-60, no. 9, pp. 60-64, 1991.

70
A. Simionescu and G. Hobler, ``Two-Dimensional Monte Carlo Simulation of Ion Implantation in Crystalline Silicon Considering Damage Formation,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 361-364, 1993.

71
A. Hössinger and S. Selberherr, ``Accurate Three-Dimensional Simulation of Damage Caused by Ion Implantation,'' in Proc. Int. Conf. Modeling and Simulation of Microsystems, pp. 363-366, 1999.

72
T. Binder, A. Hössinger, and S. Selberherr, ``Rigorous Integration of Semiconductor Process and Device Simulators,'' IEEE Trans. on CAD, vol. 22, no. 9, pp. 1204-1214, 2003.

73
Institut für Mikroelektronik, Technische Universität Wien, Austria, PROMIS 1.5 User Guide, 1991.

74
H. Stippel, Simulation der Ionen-Implantation.
Dissertation, Technische Universität Wien, 1993.

75
W. Bohmayr, Simulation der Ionenimplantation in kristalline Siliziumstrukturen.
Dissertation, Technische Universität Wien, 1996.

76
A. Hössinger, ``3D Process Simulation for Narrow Width Devices Characterization.'' Status Report, 2003.

77
T. Binder, Rigorous Integration of Semiconductor Process and Device Simulators.
Dissertation, Technische Universität Wien, 2002.

URL: http://www.iue.tuwien.ac.at/phd/binder.

78
C. Heitzinger and S. Selberherr, ``Optimization for TCAD Purposes Using Bernstein Polynomials,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 420-423, 2001.

79
P. Fleischmann and S. Selberherr, ``Enhanced Advancing Front Delaunay Meshing in TCAD,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 99-102, 2002.

80
W. Bohmayr, A. Burenkov, J. Lorenz, H. Ryssel, and S. Selberherr, ``Trajectory Split Method for Monte Carlo Simulation of Ion Implantation,'' IEEE Trans. Semicond. Manufact., vol. 8, no. 4, pp. 402-407, 1995.

81
A. Hössinger, S. Selberherr, M. Kimura, I. Nomachi, and S. Kusanagi, ``Three-Dimensional Monte-Carlo Ion Implantation Simulation for Molecular Ions,'' in Electrochemical Society Proceedings, vol. 99-2, pp. 18-25, 1999.

82
A. Hössinger, E. Langer, and S. Selberherr, ``Parallelization of a Monte Carlo Ion Implantation Simulator,'' IEEE Trans. on CAD, vol. 19, no. 5, pp. 560-567, 2000.

83
R. Chandra, L. Dagum, D. Kohr, D. Maydan, J. McDonald, and R. Menon, Parallel Programming in OpenMP.
Academic Press, 2001.

84
C. Heitzinger, A. Hössinger, and S. Selberherr, ``An Algorithm for Smoothing Three-Dimensional Monte Carlo Ion Implantation Simulation Results,'' in Proc. Int. Symp. on Mathematical Modeling (MATHMOD), pp. 702-711, 2003.

85
C. Heitzinger, A. Hössinger, and S. Selberherr, ``On Smoothing Three-Dimensional Monte Carlo Ion Implantation,'' IEEE Trans. on Circuits and Devices, vol. 22, no. 7, pp. 879-883, 2003.

86
R. Wittmann, A. Hössinger, and S. Selberherr, ``Statistical Analysis for the Three-Dimensional Monte Carlo Simulation of Ion Implantation,'' in Proc. Industrial Simulation Conf. (ISC), pp. 159-163, 2003.

87
R. Bauer, R. Sabelka, and C. Harlander, ``Smart Analysis Programs User's Manual for Version 2.9.7,'' technical report, Institut für Mikroelektronik, Technische Universität Wien, Austria, 2004.

88
D. A. Antoniadis, I. Aberg, C. N. Chleirigh, O. M. Nayfeh, A. Khakifirooz, and J. L. Hoyt, ``Continuous MOSFET Performance Increase With Device Scaling: The Role of Strain and Channel Material Innovations,'' IBM J. Res. & Dev., vol. 50, no. 4, pp. 363-376, 2006.

89
H. Shang, M. M. Frank, E. P. Gusev, J. O. Chu, S. W. Bedell, K. W. Guarini, and M. Ieong, ``Germanium Channel MOSFETs: Opportunities and Challenges,'' IBM J. Res. & Dev., vol. 50, no. 4, pp. 377-386, 2006.

90
J. L. Hoyt, ``Enhanced Mobility CMOS,'' in Proc. SiGe: Materials, Processing, and Devices (ECS, ed.), vol. 2004-07, (Honolulu, USA), pp. 15-24, Electrochemical Society, 2004.

91
P. Laitinen, Self- and Impurity Diffusion in Intrinsic Relaxed Silicon-Germanium.
Dissertation, Department of Physics, University of Jyväskylä, 2004.

92
R. Wittmann, A. Hössinger, and S. Selberherr, ``Monte Carlo Simulation of Ion Implantation in Silicon-Germanium Alloys,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 169-172, 2004.

93
R. Wittmann, A. Hössinger, and S. Selberherr, ``Calibration for the Monte Carlo Simulation of Ion Implantation in Relaxed SiGe,'' in SiGe: Materials, Processing, and Devices (E. Transactions, ed.), vol. 2004-07, (Honolulu, USA), pp. 181-192, Electrochemical Society, 2004.

94
R. Wittmann, S. Uppal, A. Hössinger, J. Cervenka, and S. Selberherr, ``A Study of Boron Implantation into High Ge Content SiGe Alloys,'' in Proc. SiGe: Materials, Processing, and Devices (E. Transactions, ed.), vol. 3, (Cancun, Mexico), pp. 667-676, Electrochemical Society, 2006.

95
J. P. Dismukes, L. Ekstrom, and R. J. Paff, ``Lattice Parameter and Density in Germanium-Silicon Alloys,'' J. Phys. Chem. Solids, vol. 68, pp. 3021-3027, 1964.

96
E. Kasper and K. Lyutovich, Properties of Silicon Germanium and SiGe:Carbon.
London: Inspec, 2000.

97
G. B. Stringfellow, ``Calculation of Regular Solution Interaction Parameters in Semiconductor Solid Solutions,'' J. Phys. Chem. Solids, vol. 34, pp. 1749-1751, 1973.

98
Y. A. Abramov and F. P. Okamura, ``A Topological Analysis of Charge Densities in Diamond, Silicon and Germanium Crystals,'' J. Acta Crystallographica, vol. A53, pp. 187-198, 1997.

99
J. Bennett, P. Kohli, and R. Wise, ``SIMS Depth Profiling of B and As Implants in Si $ _{1-\mathrm{x}}$Ge $ _{\mathrm{x}}$ and strained Si/Si $ _{1-\mathrm{x}}$Ge $ _{\mathrm{x}}$,'' in Proc. SiGe: Materials, Processing, and Devices (ECS, ed.), vol. 2004-07, (Honolulu, USA), pp. 239-242, Electrochemical Society, 2004.

100
J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A. Fitzgerald, and D. A. Antoniadis, ``Strained Silicon MOSFET Technology,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 23-26, 2002.

101
S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffmann, J. Klaus, Z. Ma, B. McIntyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr, and Y. El-Mansy, ``A Logic Nanotechnology Featuring Strained-Silicon,'' IEEE Electron Device Letters, vol. 25, no. 4, pp. 191-193, 2004.

102
C. G. V. de Walle, ``Band Lineups and Deformation Potentials in the Model-Solid Theory,'' Physical Review B, vol. 39, no. 3, pp. 1871-1883, 1989.

103
C. Tahan, M. Friesen, and R. Joynt, ``Decoherence of Electron Spin Qubits in Si-based Quantum Computers,'' Physical Review B, vol. 66, no. 3, pp. 1-11, 2002.

104
R. Wittmann, A. Hössinger, and S. Selberherr, ``Monte Carlo Simulation of Ion Implantation for Doping of Strained Silicon MOSFETs,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 191-194, 2005.

105
H. Shang, H. Okorn-Schmidt, K. K. Chan, M. Copel, J. A. Ott, P. M. Kozlowski, S. E. Steen, S. A. Cordes, H.-S. P. Wong, E. C. Jones, and W. E. Haensch, ``High Mobility p-Channel Germanium MOSFETs with a Thin Ge Oxynitride Gate Dielectric,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 441-444, 2002.

106
A. Delabie, R. L. Puurunen, B. Brijs, M. Caymax, T. Conard, B. Onsia, O. Richard, W. Vandervorst, C. Zhao, M. M. Heyns, M. Meuris, M. M. Viitanen, H. H. Brongersma, M. Ridder, L. V. Goncharova, E. Garfunkel, T. Gustafsson, and W. Tsai, ``Atomic Layer Deposition of Hafnium Oxide on Germanium Substrates,'' J. Appl. Phys., vol. 97, no. 6, pp. 1-10, 2005.

107
M. Meuris, B. Jaeger, S. Kubicek, P. Verheyen, J. Steenbergen, G. Lujan, E. Kunnen, E. Sleeckx, I. Teerlinck, S. Elshocht, A. Delabie, R. Lindsay, A. Satta, T. Schram, T. Chiarella, R. Degraeve, O. Richard, T. Conrad, J. Poortmans, G. Winderickx, M. Houssa, W. Boullart, M. Schaekers, P. Mertens, M. Caymax, S. Gendt, W. Vandervorst, E. Moorhem, S. Biesemans, K. Meyer, L. Ragnarsson, S. Lee, G. Kota, G. Raskin, P. Mijlemans, V. Afanas'ev, A. Stesmans, and M. Heyns, ``Germanium Deep-Sub Micron PMOS Transistors with Etched TaN Metal Gate on a High-K Dielectric, Fabricated in a 200mm Prototyping Line,'' in Proc. SiGe: Materials, Processing, and Devices (ECS, ed.), vol. 2004-07, (Honolulu, USA), pp. 693-700, Electrochemical Society, 2004.

108
K. Lee, F. Cardone, P. Saunders, P. Kozlowski, P. Ronsheim, H. Zhu, J. Li, J. Chu, K. Chan, and M. Ieong, ``20nm N $ ^\mathrm{+}$ Abrupt Junction Formation in Strained Si/Si $ _\mathrm{1-x}$Ge $ _\mathrm{x}$ MOS Device,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 481-484, 2003.

109
R. E. Jones, S. G. Thomas, S. Bharatan, R. Thoma, C. Jasper, T. Zirkle, N. V. Edwards, R. Liu, X. D. Wang, Q. Xie, C. Rosenblad, J. Ramm, G. Isella, H. Känel, J. Oh, and J. C. Campbell, ``Fabrication and Modeling of Gigahertz Photodetectors in Heteroepitaxial Ge-on-Si Using a Graded Buffer Layer Deposition by Low Energy Plasma Enhanced CVD,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 793-796, 2002.

110
L. Colace, M. Balbi, G. Masini, G. Assanto, H. C. Luan, and L. C. Kimerling, ``Ge on Si P-I-N Photodiodes Operating at 10 Gbit/s,'' J. Appl. Phys., vol. 88, no. 10, pp. 1-3, 2006.

111
M. H. Jones and S. H. Jones, ``The General Properties of Si, Ge, SiGe, SiO$ _2$, and Si$ _3$N$ _4$,'' technical report, usa, Virginia Semiconductor, 2002.

112
R. Wittmann, A. Hössinger, J. Cervenka, S. Uppal, and S. Selberherr, ``Monte Carlo Simulation of Boron Implantation into (100) Germanium,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 381-384, 2006.

113
K. S. Jones and E. E. Haller, ``Ion Implantation of Boron in Germanium,'' J. Appl. Phys., vol. 61, no. 7, pp. 2469-2477, 1987.

114
E. W. J. Mitchell, ``The Effect of Radiation Damage on the Electronic Properties of Solids,'' Br. J. Appl. Phys., vol. 8, pp. 179-189, 1957.

115
H. Puchner, ``NBTI Product Level Reliability Challenges.'' Presentation at the Int. Workshop on Computational Electronics 2006, TU Wien, Vienna.

URL: http://www.iwce.org/.

116
D. K. Schroder and J. A. Babcock, ``Negative Bias Temperature Instability: Road to Cross in Deep Submicron Silicon Semiconductor Manufacturing,'' J. Appl. Phys., vol. 94, no. 1, 2003.

117
S. Chakravarthi, A. T. Krishnan, V. Reddy, C. F. Machala, and S. Krishnan, ``A Comprehensive Framework for Predictive Modeling of Negative Bias Temperature Instability,'' in Proc. Int. Reliability Physics Symp. (IRPS), pp. 273-282, 2004.

118
S. Mahapatra, P. B. Kumar, and M. A. Alam, ``Investigation and Modeling of Interface and Bulk Trap Generation During Negative Bias Temperature Instability,'' IEEE Trans. Electron Devices, vol. 51, no. 9, pp. 1371-1379, 2004.

119
K. O. Jeppson and C. M. Svensson, ``Negative Bias Stress of MOS Devices at High Electric Fields and Degradation of MNOS Devices,'' J. Appl. Phys., vol. 48, no. 5, pp. 2004-2014, 1977.

120
S. S. Tan, T. P. Chen, C. H. Ang, and L. Chan, ``Mechanism of Nitrogen-Enhanced Negative Bias Temperature Instability in PMOSFET,'' Microelectronics Reliability, vol. 45, no. 2005, pp. 19-30, 2004.

121
S. Mahapatra, M. A. Alam, P. B. Kumar, T. R. Dalei, D. Varghese, and D. Saha, ``Negative Bias Temperature Instability in CMOS Devices,'' Microelectronic Engineering, vol. 80, no. 2005, pp. 114-121, 2005.

122
R. Wittmann, H. Puchner, L. Hinh, H. Ceric, A. Gehring, and S. Selberherr, ``Impact of NBTI-driven Parameter Degradation on Lifetime of a 90nm p-MOSFET,'' in IEEE Int. Reliability Workshop Final Report (IIRW), pp. 99-102, 2005.

123
H. Puchner and L. H. N. S. J. Wen, ``Comprehensive NBTI Model for a 90nm CMOS Technology,'' in Proc. Europ. Solid-State Device Research Conf. (ESSDERC), pp. 257-260, 2004.

124
R. Wittmann, H. Puchner, L. Hinh, H. Ceric, A. Gehring, and S. Selberherr, ``Simulation of Dynamic NBTI Degradation for a 90nm CMOS Technology,'' in Proc. Nanotechnology Conf., pp. 29-32, 2005.

125
S. Ogawa and N. Shiono, ``Generalized Diffusion-Reaction Model for the Low-Field Charge-Buildup Instability at the Si-SiO$ _2$ Interface,'' Physical Review B, vol. 51, no. 7, pp. 4218-4230, 1995.

126
M. A. Alam, ``A Critical Examination of the Mechanics of Dynamic NBTI for PMOSFETs,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 14.4.1-14.4.4, 2003.

127
T. Grasser, R. Entner, O. Triebl, H. Enichlmair, and R. Minixhofer, ``TCAD Modeling of Negative Bias Temperature Instability,'' in Proc. Int. Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 330-333, 2006.

128
C. Großmann and H. G. Roos, Numerische Behandlung partieller Differentialgleichungen.
Teubner, 2005.

129
A. Gehring and S. Selberherr, ``Modeling of Tunneling Current and Gate Dielectric Reliability for Nonvolatile Memory Devices,'' IEEE Trans. Device and Materials Reliability, vol. 4, no. 3, pp. 306-319, 2004.

130
Institut für Mikroelektronik, Technische Universität Wien, Austria, MINIMOS-NT 2.1 User's Guide, 2004.

131
A. T. Krishnan, V. Reddy, S. Chakravarthi, J. Rodriguez, S. John, and S. Krishnan, ``NBTI Impact on Transistor & Circuit: Models, Mechansims & Scaling Effects,'' in Proc. Int. Electron Devices Meeting (IEDM), pp. 349-352, 2003.

132
H. Aono, E. Murakami, K. Okuyama, A. Nishida, M. Minami, Y. Ooji, and K. Kubota, ``Modeling of NBTI Degradation and Its Impact on Electric Field Dependence of the Lifetime,'' in Proc. Int. Reliability Physics Symp. (IRPS), pp. 23-27, 2004.

133
J. Oh, Planar Ge Photodetectors on Si Substrates for Si/Ge-Based Optical Receivers.
Dissertation, University of Texas at Austin, 2004.

134
D. Stiebel, A. Burenkov, P. Pichler, F. Cristiano, A. Claverie, and H. Ryssel, ``Modeling the Amorphization of Si due to the Implantation of As, Ge, and Si,'' in Proc. Int. Conf. on Ion Implantation Technology, pp. 251-254, 2000.

135
J. Oh, S. Csutak, and J. C. Campbell, ``High-Speed Interdigitated Ge PIN Photodetectors,'' IEEE Photonics Technology Letters, vol. 14, no. 3, pp. 369-371, 2002.

136
S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, ``Impact of NBTI on SRAM Read Stability and Design for Reliability,'' in Proc. Int. Symp. Qual. Electron. Design, pp. 210-218, 2006.

137
R. Wittmann, H. Puchner, H. Ceric, and S. Selberherr, ``Impact of Random Bit Values on NBTI Lifetime of an SRAM Cell,'' in Proc. Int. Symp. on Physics and Failure Analysis (IPFA), pp. 41-44, 2006.


next up previous contents
Next: Own Publications Up: Dissertation Robert Wittmann Previous: A. Wafer-State-Server Environment

R. Wittmann: Miniaturization Problems in CMOS Technology: Investigation of Doping Profiles and Reliability