next up previous
Next: 2.4 Metalization Up: 2. Semiconductor Technology Overview Previous: 2.2 Etching


2.3 Deposition

In integrated circuit fabrication the layers above the wafer surface must be deposited. These organic and inorganic thin films will either become part of the integrated circuit, or serve as intermediate layers used in particular processing steps to be removed later on. Their thickness lies in the $10nm$ to few $\mu m$ range and the deposited materials include doped semiconductors, insulators, metals and dielectrics. The most important deposition techniques include Physical Vapor Deposition (PVD), Chemical Vapor Deposition (CVD) and these two methods combined together. As the deposition process is performed uniformly over the entire wafer, both a masking and an etching process are usually needed afterwards.

As physical vapor deposition has reduced step coverage, that is, has reduced ability to cover the surface topology, it is used almost exclusively in metalization steps (see next section) and here we will emphasize chemical vapor deposition. In this latter technique the deposition mechanism is based on the chemical reaction or decomposition of a gas mixture at high temperatures. The most typical deposited materials by CVD are polysilicon, silicon dioxide, nitride and various silicate glasses. Thermal CVD is also used in most epitaxial grow process steps. The main problem associated to this technique is the requirement of a high temperature. In the cases where high substrate temperatures can not be tolerated, plasma enhanced CVD is a good solution. In this method radio frequency discharges replace the thermal energy to enhance the deposition process.


next up previous
Next: 2.4 Metalization Up: 2. Semiconductor Technology Overview Previous: 2.2 Etching
Rui Martins
1999-02-24