Bibliography

1
Arnaud, L., Berger, T., and Reimbold, G. (2003). Evidence of Grain-Boundary Versus Interface Diffusion in Electromigration Experiments in Copper Damascene Interconnects. J. Appl. Phys., 93(1):192-204.

2
Arnold, B. (2009). Shrinking Possibilities. IEEE Spectr., 46(4):26-28, 50-56.

3
Arzt, E., Kraft, O., Nix, W. D., and Sanchez, J. E. (1994). Electromigration Failure by Shape Change of Voids in Bamboo Lines. J. Appl. Phys., 76(3):1563-1571.

4
Averbuch, A., Israeli, M., and Ravve, I. (2003). Electromigration of Intergranular Voids in Metal Films for Microelectronic Interconnects. J. Comput. Phys., 186(2):481-502.

5
Baker, R. (2005). CMOS Circuit Design Layout and Simulation. Wiley-IEEE, 2nd edition.

6
Baklanov, M. R., Adelmann, C., Zhao, L., and De Gendt, S. (2015). Advanced Interconnects: Materials, Processing, and Reliability. ECS J. Solid State Sci. Technol., 4(1):Y1-Y4.

7
Balluf , R. W. and Mehl, R. F. (1982). Grain Boundary Diffusion Mechanisms in Metals. Metall. Trans. A, 13(12):2069-2095.

8
Bardeen, J. and Brattain, W. H. (1948). The Transistor, A Semi-Conductor Triode. Phys. Rev., 74(2):230-231.

9
Beyne, E. (2006). 3D System Integration Technologies. In Proc. VLSI-TSA, 1-9.

10
Bhate, D. N., Bower, A. F., and Kumar, A. (2002). A Phase Field Model for Failure in Interconnect Lines due to Coupled Diffusion Mechanisms. J. Mech. Phys. Solids, 50(10):2057-2083.

11
Bhate, D. N., Kumar, A., and Bower, A. F. (2000). Diffuse Interface Model for Electromigration and Stress Voiding. J. Appl. Phys., 87(4):1712-1721.

12
Black, J. R. (1967). Mass Transport of Aluminum by Momentum Exchange With Conducting Electrons. In Proc. Reliab. Phys. Symp., 148-159.

13
Black, J. R. (1969). Electromigration - A Brief Survey and Some Recent Results. IEEE Trans. Electron Dev., 16(4):338-347.

14
Black, J. R. (1969). Electromigration Failure Modes in Aluminum Metallization for Semiconductor Devices. Proc. IEEE, 57(9):1587-1594.

15
Blair, J. C., Ghate, P. B., and Haywood, C. T. (1971). Concerning Electromigration in Thin Films. Proc. IEEE, 59(6):1023-1024.

16
Blech, I. A. (1976). Electromigration in Thin Aluminum Films on Titanium Nitride. J. Appl. Phys., 47(4):1203-1208.

17
Blech, I. A. and Sello, H. (1966). The Failure of Thin Alluminum Current-Carrying Strips on Oxidized Silicon. In Proc. Symposium on PoF in Electronics, 496-505.

18
Bosvieux, C. and Friedel, J. (1962). Sur l'Electrolyse des Alliages Metalliques. J. Phys. Chem. Solids, 23(1):123-136.

19
Bower, A. F. (2010). Applied Mechanics of Solids. CRC Press.

20
Cacho, F. and Federspiel, X. (2011). Modeling of Electromigration Phenomena. In Kim, C.-U., editor, Electromigration in Thin Films and Electronic Devices, Woodhead Publishing Series in Electronic and Optical Materials, 3-44. Woodhead Publishing.

21
Cahn, J. W. (1965). Phase Separation by Spinodal Decomposition in Isotropic Systems. J. Chem. Phys., 42(1):93-99.

22
Cahn, J. W. and Hilliard, J. E. (1958). Free Energy of a Nonuniform System. I. Interfacial Free Energy. J. Chem. Phys., 28(2):258-267.

23
Cannon, J. R. (1984). The One-Dimensional Heat Equation. Encyclopedia of Mathematics and its Applications. Longman Higher Education.

24
Cassidy, C., Kraft, J., Carniello, S., Roger, F., Ceric, H., Singulani, A. P., Langer, E., and Schrank, F. (2012). Through Silicon Via Reliability. IEEE Trans. Device Mater. Rel., 12(2):285-295.

25
Ceric, H., de Orio, R. L., Cervenka, J., and Selberherr, S. (2009). A Comprehensive TCAD Approach for Assessing Electromigration Reliability of Modern Interconnects. IEEE Trans. Device Mater. Rel., 9(1):9-19.

26
Ceric, H., de Orio, R. L., Singulani, A. P., and Selberherr, S. (2014). 3D Technology Interconnect Reliability TCAD. In Proc. SMTA Pan Pac, 1-8.

27
Ceric, H., Heinzl, R., Hollauer, C., Grasser, T., and Selberherr,S.(2006).Microstructure and Stress Aspects of Electromigration Modeling. AIP Conf. Proc., 817:262-268.

28
Ceric, H., Sabelka, R., Holzer, S., Wessner, W., Wagner, S., Grasser, T., and Selberherr, S. (2004). The Evolution of the Resistance and Current Density During Electromigration, 331-334. Springer Vienna, Vienna.

29
Ceric, H. and Selberherr, S. (2011). Electromigration in Submicron Interconnect Features of Integrated Circuits. Mater. Sci. Eng. R-Rep., 71(5):53-86.

30
Ceric, H. and Selberherr, S. (2014). Electromigration Reliability of Solder Bumps. In Proc. IPFA, 336-339.

31
Ceric, H., Singulani, A. P., de Orio, R. L., and Selberherr, S. (2013). Impact of Intermetallic Compound on Solder Bump Electromigration Reliability. In Proc. SISPAD, 73-76.

32
Chen, C., Hsiao, H.-Y., Chang, Y.-W., Ouyang, F., and Tu, K. (2012). Thermomigration in Solder Joints. Mat. Sci. Eng. R, 73(9-10):85-100.

33
Chen, H. Y. and Chen, C. (2008). Kinetic Study of Eutectic Sn-3.5Ag and Electroplated Ni Metallization in Flip-Chip Solder Joints. In Proc. EMAP, 262-267.

34
Choi, W. J., Yeh, E. C. C., and Tu, K. N. (2003). Mean-Time-To-Failure Study of Flip Chip Solder Joints on Cu/Ni(V)/Al Thin-Film Under-Bump-Metallization. J. Appl. Phys., 94(2):5665-5671.

35
Choi, Z.-S., Moenig, R., and Thompson, C. (2008). Effects of Microstructure on the Formation, Shape, and Motion of Voids During Electromigration in Passivated Copper Interconnects. J. Mater. Res., 23(02):383-391.

36
Clemens, B. M., Nix, W. D., and Gleixner, R. J. (1997). Void Nucleation on a Contaminated Patch. J. Mater. Res., 12(08):2038-2042.

37
Clement, J. J. and Lloyd, J. R. (1992). Numerical Investigations of the Electromigration Boundary Value Problem. J. Appl. Phys., 71(4):1729-1731.

38
COMSOL (2012). COMSOL Multiphysics® v. 4.3., COMSOL AB, Stockholm, Sweden. http://www.comsol.com.

39
Croes, K., Li, Y., Lofrano, M., Wilson, C. J., and Tokei, Z. (2013). Intrinsic Study of Current Crowding and Current Density Gradient Effects on Electromigration in BEOL Copper Interconnects. In Proc. IRPS, 2C.3.1-2C.3.4.

40
de Orio, R. L. (2010). Electromigration Modeling and Simulation. Dissertation, Technischen Universitaet Wien, Fakultaet fuer Elektrotechnik und Informationstechnik.

41
de Orio, R. L., Carniello, S., Ceric, H., and Selberherr, S. (2008). Analysis of Electromigration in Dual-Damascene Interconnect Structures. ECS Trans., 14(1):337-348.

42
de Orio, R. L., Ceric, H., and Selberherr, S. (2011). A Compact Model for Early Electromigration Lifetime Estimation. In Proc. SISPAD, 23-26.

43
de Orio, R. L., Ceric, H., and Selberherr, S. (2012). Electromigration Failure in a Copper Dual-Damascene Structure With a Through Silicon Via. Microelectron. Reliab., 52(9–10):1981-1986.

44
de Orio, R. L., Ceric, H., and Selberherr, S. (2013). Influence of Temperature on the Standard Deviation of Electromigration Lifetimes. In Proc. SISPAD, 232-235.

45
de Orio, R. L., Gousseau, S., Moreau, S., Ceric, H., Selberherr, S., Farcy, A., Bay, F., Inal, K., and Montmitonnet, P. (2014). On the Material Depletion Rate due to Electromigration in a Copper TSV Structure. In Proc. IIRW, 111-114.

46
Dekker, J. P. and Lodder, A. (1998). Calculated Electromigration Wind Force in Face-Centered-Cubic and Body-Centered-Cubic Metals. J. Appl. Phys., 84(4):1958-1962.

47
Dennard, R. H., Gaensslen, F. H., Rideout, V. L., Bassous, E., and LeBlanc, A. R. (1974). Design of Ion-Implanted MOSFET's With Very Small Physical Dimensions. IEEE J. Solid-State Circuits, 9(5):256-268.

48
d'Heurle, F. M. and Rosenberg, R. (1973). Electromigration in Thin Films. Physics of Thin Films 7. Academic Press Inc.,U.S.

49
Dreyer, M. L., Fu, K. Y., and Varker, C. J. (1993). The Effects of Temperature and Microstructure on the Components of Electromigration Mass Transport. In Proc. IRPS, 304-310.

50
Dubrovskii, V. (2014). Fundamentals of Nucleation Theory, 1-73. Springer Berlin Heidelberg, Berlin, Heidelberg.

51
Dwyer, V. M. (2010). An Investigation of Electromigration Induced Void Nucleation Time Statistics in Short Copper Interconnects. J. Appl. Phys., 107(10):103718-1-103718-12.

52
EIA/JEDEC (1998). Standard No. 63, Standard Method for Calculating the Electromigration Model Parameters for Current Density and Temperature. JEDEC Solid State Technology Association.

53
Emmerich, H. (2003). The Diffuse Interface Approach in Materials Science : Thermo- dynamic Concepts and Applications of Phase-Field Models. Lecture Notes in Physics, New Series, Monographs, M73. Springer.

54
Faggin, F. (1992). The Birth of the Microprocessor. BYTE, 17(3):145-150.

55
Fiks, V. B. (1959). Interaction of Conduction Electrons With Single Dislocations in Metals. Phys. Solid State, 1:14.

56
Filippi, R. G., Wang, P.-C., Brendler, A., Chanda, K., and Lloyd, J. R. (2010). Implications of a Threshold Failure Time and Void Nucleation on Electromigration of Copper Interconnects. J. Appl. Phys., 107(10):103709-1-103709-7.

57
Filippi, R. G., Wang, P. C., Brendler, A., McLaughlin, P. S., Poulin, J., Redder, B., Lloyd, J. R., and Demarest, J. J. (2009). The Effect of a Threshold Failure Time and Bimodal Behavior on the Electromigration Lifetime of Copper Interconnects. In Proc. IRPS, 444-451.

58
Fisher, J. C. (1951). Calculation of Diffusion Penetration Curves for Surface and Grain Boundary Diffusion. J. Appl. Phys., 22(1):74-77.

59
Flinn, P. A. (1995). Mechanical Stress in VLSI Interconnections: Origins, Effects, Measurement, and Modeling. MRS Bulletin, 20(11):70-73.

60
Frank, T., Chappaz, C., Leduc, P., Arnaud, L., Lorut, F., Moreau, S., Thuaire, A., Farhane, R. E., and Anghel, L. (2011). Resistance Increase due to Electromigration Induced Depletion Under TSV. In Proc. IRPS, 3F.4.1-3F.4.6.

61
Frank, T., Moreau, S., Chappaz, C., Leduc, P., Arnaud, L., Thuaire, A., Chery, E., Lorut, F., Anghel, L., and Poupon, G. (2013). Reliability of TSV Interconnects: Electromigration, Thermal Cycling, and Impact on Above Metal Level Dielectric. Microelectron. Reliab., 53(1):17-29.

62
Fridline, D. R. and Bower, A. F. (1999). Influence of Anisotropic Surface Diffusivity on Electromigration Induced Void Migration and Evolution. J. Appl. Phys., 85(6):3168-3174.

63
Gleixner, R. J., Clemens, B. M., and Nix, W. D. (1997). Void Nucleation in Passivated Interconnect Lines: Effects of Site Geometries, Interfaces, and Interface Flaws. J. Mater. Res., 12(08):2081-2090.

64
Gleixner, R. J. and Nix, W. D. (1999). A Physically Based Model of Electromigration and Stress-Induced Void Formation in Microelectronic Interconnects. J. Appl. Phys., 86(4):1932-1944.

65
Glicksman, M. E. (2000). Diffusion in Solids: Field Theory, Solid-State Principles, and Applications. Wiley.

66
Goldstein, R., Sarychev, M., Shirabaikin, D., Vladimirov, A., and Zhitnikov, Y. (2001). Modeling Electromigration and the Void Nucleation in Thin-Film Interconnects of Integrated Circuits. Int. J. Fract., 109(1):91-121.

67
G.Q. Zhang, W.D. van Driel, X. F. (2006). Mechanics of Microelectronics. Solid Mechanics and Its Applications. Springer, 1st edition.

68
Gray, L., Maroudas, D., Enmark, M., and D'Azevedo, E. (1999). Approximate Green's Functions in Boundary Integral Analysis. Eng. Anal. Bound. Elem., 23(3):267-274.

69
Gray, L. J., Maroudas, D., and Enmark, M. N. (1998). Galerkin Boundary Integral Method for Evaluating Surface Derivatives. Comput. Mech., 22(2):187-193.

70
Griffiths, D. J. (1999). Introduction to Electrodynamics. Prentice Hall, 3rd edition.

71
Gungor, M. R. and Maroudas, D. (1998). Electromigration-Induced Failure of Metallic Thin Films due to Transgranular Void Propagation. Appl. Phys. Lett., 72(26):3452-3454.

72
Gurtin, M. E. (1996). Generalized Ginzburg-Landau and Cahn-Hilliard Equations Based on a Microforce Balance. Phys. D, 92(3-4):178-192.

73
Hartzell, A. L., da Silva, M. G., and Shea, H. R. (2011). MEMS Reliability. MEMS Reference Shelf. Springer US, 1st edition.

74
Hau-Riege, C. S., Hau-Riege, S. P., and Marathe, A. P. (2004). The Effect of Interlevel Dielectric on the Critical Tensile Stress to Void Nucleation for the Reliability of Cu Interconnects. J. Appl. Phys., 96(10):5792-5796.

75
Hauschildt, M., Gall, M., Thrasher, S., Justison, P., Hernandez, R., Kawasaki, H., and Ho, P. S. (2007). Statistical Analysis of Electromigration Lifetimes and Void Evolution. J. Appl. Phys., 101(4):043523-1-043523-9.

76
Herring, C. (1950). Diffusional Viscosity of a Polycrystalline Solid. J. Appl. Phys., 21(5):437-445.

77
Hirth, J. and Nix, W. (1985). Analysis of Cavity Nucleation in Solids Subjected to External and Internal Stresses. Acta Metall., 33(3):359-368.

78
Ho, P. S. (1970). Motion of Inclusion Induced by a Direct Current and a Temperature Gradient. J. Appl. Phys., 41(1):64-68.

79
Ho, P. S. and Kwok, T.(1989).Electromigration in Metals. Rep. Prog. Phys., 52(3):301-348.

80
Hong, C. C. and Crook, D. L. (1985). Breakdown Energy of Metal (BEM) - A New Technique for Monitoring Metallization Reliability at Wafer Level. In Proc. IRPS, 108-114.

81
Hull, D. and Rimmer, D. E. (1959). The Growth of Grain-Boundary Voids Under Stress. Philos. Mag., 4(42):673-687.

82
Huntington, H. and Grone, A. (1961). Current-Induced Marker Motion in Gold Wires. J. Phys. Chem. Solids, 20(1):76-87.

83
Intel (2014). http://www.intel.com/content/www/us/en/silicon-innovations/intel-14nm- technology.html.

84
ITRS (2011). International Technology Roadmap for Semiconductor, Interconnect. http://www.itrs.net.

85
Johnson, C. (2009). Numerical Solutions Of Partial Differential Equations By The Finite Element Method. Dover Publications.

86
Jones, R. E. and Smith, L. D. (1987). A New Wafer-Level Isothermal Joule-Heated Electromigration Test for Rapid Testing of Integrated-Circuit Interconnect. J. Appl. Phys., 61(9):4670-4678.

87
Justison, P. R. (2003). Analysis of Electromigration in Single- and Dual-Inlaid Cu Interconnects. PhD thesis, University of Texas at Austin, Faculty of the Graduate School.

88
Kaltenbacher, M. (2007). Numerical Simulation of Mechatronic Sensors and Actuators. Springer Berlin Heidelberg, 2nd edition.

89
Keyes, R. W. (2006). The Impact of Moore's Law. IEEE Solid State Circuits News., 11(5):25-27.

90
Kilby, J. S. (2000). The Integrated Circuit's Early History. Proc. IEEE, 88(1):109-111.

91
Kirchheim, R. (1992). Stress and Electromigration in Al-Lines of Integrated Circuits. Acta Metall. Mater., 40(2):309-323.

92
Knorr, D. B. and Rodbell, K. P. (1993). Effects of Texture, Microstructure, and Alloy Content on Electromigration of Aluminum-Based Metallization. In Proc. SPIE, 1805:210-221.

93
Korhonen, M. A., Børgesen, P., Tu, K. N., and Li, C. (1993). Stress Evolution due to Electromigration in Confined Metal Lines. J. Appl. Phys., 73(8):3790-3799.

94
Koyanagi, M. (2011). 3D Integration Technology and Reliability. In Proc. IRPS, 3F.1.1-3F.1.7.

95
Kraft, J., Schrank, F., Teva, J., Siegert, J., Koppitsch, G., Cassidy, C., Wachmann, E., Altmann, F., Brand, S., Schmidt, C., and Petzold, M. (2011). 3D Sensor Application With Open Through Silicon Via Technology. In Proc. ECTC, 560-566.

96
Kraft, O. and Arzt, E. (1997). Electromigration Mechanisms in Conductor Lines: Void Shape Changes and Slit-Like Failure. Acta Mater., 45(4):1599-1611.

97
Kumar, P. and Sorbello, R. (1975). Linear Response Theory of the Driving Forces for Electromigration. Thin Solid Films, 25(1):25-35.

98
Landau, L. D. and Livshits, E. M. (1959). Theory of Elasticity. Pergamon, New York, 1st edition.

99
Lane, M. W., Liniger, E. G., and Lloyd, J. R. (2003). Relationship Between Interfacial Adhesion and Electromigration in Cu Metallization. J. Appl. Phys., 93(3):1417-1421.

100
Larche, F. and Cahn, J. (1985). The Interactions of Composition and Stress in Crystalline Solids. Acta Metall., 33(3):331-357.

101
Lau, F., Mader, L., Mazure, C., Werner, C., and Orlowski, M. (1989). A Model for Phosphorus Segregation at the Silicon-Silicon Dioxide Interface. Appl. Phys. A, 49(6):671-675.

102
Lin, M. (2006). A Thermodynamic Framework for Damage Mechanics of Electromigration and Thermomigration. PhD thesis, University of New York at Buffalo, Faculty of the Graduate School of State.

103
Lloyd, J. (1999). Electromigration and Mechanical Stress. Microelectron. Eng., 49(1-2):51-64.

104
Lloyd, J. (2007). Black's Law Revisited - Nucleation and Growth in Electromigration Failure. Microelectr. Reliab., 47(9-11):1468-1472.

105
Lloyd, J., Clemens, J., and Snede, R. (1999). Copper Metallization Reliability. Microelectr. Reliab., 39(11):1595-1602.

106
Lu, J.-Q., Rose, K., and Vitkavage, S. (2007). 3D Integration: Why, What, Who, When? Future Fab Intl., (23):25-27.

107
Mahadevan, M. and Bradley, R. M. (1999). Phase Field Model of Surface Electromigration in Single Crystal Metal Thin Films. Phys. D, 126(3-4):201-213.

108
Mahadevan, M. and Bradley, R. M. (1999). Simulations and Theory of Electromigration-Induced Slit Formation in Unpassivated Single-Crystal Metal Lines. Phys. Rev. B, 59(16):11037-11046.

109
Malta, D., Vick, E., Goodwin, S., Gregory, C., Lueck, M., Huffman, A., and Temple, D. (2010). Fabrication of TSV-Based Silicon Interposers. In Proc. 3DIC, 1-6.

110
Meinshausen, L., Frémont, H., Weide-Zaage, K., and Plano, B. (2013). Electro- and Thermomigration-Induced IMC Formation in SnAg3.0Cu0.5 Solder Joints on Nickel Gold Pads. Microelectr. Reliab., 53(9-11):1575-1580.

111
Meinshausen, L., Weide-Zaage, K., and Frémont, H. (2015). Dynamical IMC-Growth Calculation. Microelectr. Reliab., 55(9-10):1832-1837.

112
Milne, I., Karihaloo, B., and Ritchie, R. (2003). Comprehensive Structural Integrity: Interfacial and Nanoscale Failure. Comprehensive Structural Integrity. Elsevier Pergamon.

113
Moore, G. E. (1975). Progress in Digital Integrated Electronics. In Proc. IEDM, 21:11-13.

114
Moore, G. E. (1998). Cramming More Components Onto Integrated Circuits. Proc. IEEE, 86(1):82-85.

115
Motoyoshi, M. (2009). Through-Silicon Via (TSV). Proc. IEEE, 97(1):43-48.

116
Nikishkov, G. P. (2004). Introduction to the Finite Element Method. University of Aizu.

117
Nobelprize.org (2013). The History of the Integrated Circuit, Nobel Media AB. http://www.nobelprize.org.

118
Oates, A. S. and Lin, M. H. (2009). Electromigration Failure Distributions of Cu/Low- k Dual-Damascene Vias: Impact of the Critical Current Density and a New Reliability Extrapolation Methodology. IEEE Trans. Device Mater. Rel., 9(2):244-254.

119
Oono, Y. and Puri, S. (1988). Study of Phase-Separation Dynamics by Use of Cell Dynamical Systems. I. Modeling. Phys. Rev. A, 38(1):434-453.

120
Ozisik, M. N. (1993). Heat Conduction. Wiley-Interscience, 2nd edition.

121
Pasco, R. W. and Schwarz, J. A. (1983). The Application of a Dynamic Technique to the Study of Electromigration Kinetics. In Proc. IRPS, 10-23.

122
Pavlidis, V. F. and Friedman, E. G. (2008). Three-Dimensional Integrated Circuit Design (Systems on Silicon). Morgan Kaufmann.

123
Pavlou, D. G. (2015). Essentials of the Finite Element Method : for Mechanical and Structural Engineers. Academic Press, 1st edition.

124
Raj, R. and Ashby, M. (1975). Intergranular Fracture at Elevated Temperature. Acta Metall., 23(6):653-666.

125
Reddy, J. (2005). An Introduction to the Finite Element Method. McGraw-Hill Education (ISE Editions), 3rd edition.

126
Riley, G. (2000). Introduction to Flip Chip: What, Why, How. http://www.FlipChips.com.

127
Root, B. J. and Turner, T. (1985). Wafer Level Electromigration Tests for Production Monitoring. In Proc. IRPS, 100-107.

128
Rosenberg, R. and Berenbaum, L. (1968). Resistance Monitoring and Effects of Nonadhesion During Electromigration in Aluminum Films. Appl. Phys. Lett., 12(5):201-204.

129
Rosenberg, R. and Ohring, M. (1971). Void Formation and Growth During Electromigration in Thin Films. J. Appl. Phys., 42(13):5671-5679.

130
Sadasiva, S., Subbarayan, G., Jiang, L., and Pantuso, D.(2012).Numerical Simulations of Electromigration and Stress Migration Driven Void Evolution in Solder Interconnects. J. Electron. Packaging, 134:20907-209079.

131
Salah, K., Ismail, Y., and El-Rouby, A. (2015). Arbitrary Modeling of TSVs for 3D Integrated Circuits. Analog Circuits and Signal Processing. Springer International Publishing, 1st edition.

132
Sarychev, M., Zhitnikov, Y., Borucki, L., Liu, C.-L., and Makhviladze, T. (2000). A New, General Model for Mechanical Stress Evolution During Electromigration. Thin Solid Films, 365(2):211-218.

133
Sarychev, M. E., Zhitnikov, Y. V., Borucki, L., Liu, C.-L., and Makhviladze, T. M. (1999). General Model for Mechanical Stress Evolution During Electromigration. J. Appl. Phys., 86(6):3068-3075.

134
Schaich, W. L. (1976). Driving Forces for Electromigration by Linear Response. Phys. Rev. B, 13(8):3360-3367.

135
Schimschak, M. and Krug, J. (2000). Electromigration-Driven Shape Evolution of Two-Dimensional Voids. J. Appl. Phys., 87(2):695-703.

136
Seith, W. and Wever, H. (1951). Die Aktivitaet bei Der Diffusion in Metallischen Dreistoffsystemen. Z. Elktrochem. Angew. P., 55(5):380-384.

137
Sham, L. J. (1975). Microscopic Theory of the Driving Force in Electromigration. Phys. Rev. B, 12(8):3142-3149.

138
Shatzkes, M. and Lloyd, J. R. (1986). A Model for Conductor Failure Considering Diffusion Concurrently With Electromigration Resulting in a Current Exponent of 2. J. Appl. Phys., 59(11):3890-3893.

139
Sinha, A. (1982). Metallization Technology for Very-Large-Scale Integrated Circuits. Thin Solid Films, 90(3):271-285.

140
Skaupy, F. (1914). Die Elektrizitätsleitung in Metallen. Verhandl. Deut. Phys. Ges., 16:156.

141
Son, H. Y., Noh, S. K., Jung, H. H., Lee, W. S., Oh, J. S., and Kim, N. S. (2013). Reliability Studies on Micro-Bumps for 3-D TSV Integration. In Proc. ECTC, 29-34.

142
Sorbello, R. S. (1985). Theory of the Direct Force in Electromigration. Phys. Rev. B, 31(2):798-804.

143
Sorensen, M. R., Mishin, Y., and Voter, A. F. (2000). Diffusion Mechanisms in Cu Grain Boundaries. Phys. Rev. B, 62(6):3658-3673.

144
Sukharev, V. (2005). Physically Based Simulation of Electromigration-induced Degra- dation Mechanisms in Dual-Inlaid Copper Interconnects. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 24(9):1326-1335.

145
Sukharev, V., Kteyan, A., and Zschech, E. (2012). Physics-Based Models for EM and SM Simulation in Three-Dimensional IC Structures. IEEE Trans. Device Mater. Rel., 12(2):272-284.

146
Sukharev, V. and Zschech, E. (2004). A Model for Electromigration-Induced Degradation Mechanisms in Dual-Inlaid Copper Interconnects: Effect of Interface Bonding Strength. J. Appl. Phys., 96(11):6337-6343.

147
Sukharev, V., Zschech, E., and Nix, W. D. (2007). A Model for Electromigration- Induced Degradation Mechanisms in Dual-Inlaid Copper Interconnects: Effect of Microstructure. J. Appl. Phys., 102(5):053505-1-053505-14.

148
Tan, C. M., Gan, Z., Li, W., and Hou, Y. (2011). Applications of Finite Element Methods for Reliability Studies on ULSI Interconnections. Springer Series in Reliability Engineering. Springer-Verlag London, 1st edition.

149
Tan, C. M. and Roy, A. (2007). Electromigration in ULSI Interconnects. Mater. Sci. Eng. R-Rep., 58(1-2):1-75.

150
Tan, C. S. (2011). 3D Integration for VLSI Systems. Pan Stanford Pub.

151
Timoshenko, S. and Goodier, J. N. (1969). Theory of Elasticity. Tokyo : McGraw-Hill Kogakusha Ltd, 3rd edition.

152
Tio Castro, D., Hoofman, R. J. O. M., Michelon, J., Gravesteijn, D. J., and Bruynser- aede, C. (2007). Void Growth Modeling Upon Electromigration Stressing in Narrow Copper Lines. J. Appl. Phys., 102(12):123515-1-123515-12.

153
Totta, P. A. and Puttlitz, K. J. (2001). Area Array Interconnection Handbook. Springer US, 1st edition.

154
Vaidya, S., Sheng, T. T., and Sinha, A. K. (1980). Linewidth Dependence of Electro- migration in Evaporated Al-0.5%Cu. Appl. Phys. Lett., 36:464-466.

155
Van der Plas, G. et al. (2011). Design Issues and Considerations for Low-Cost 3-D TSV IC Technology. J. Solid-State Circuits, 46(1):293-307.

156
Wang, W., Suo, Z., and Hao, T.-H. (1996). A Simulation of Electromigration-Induced Transgranular Slits. J. Appl. Phys., 79(5):2394-2403.

157
Weide-Zaage, K. (2008). Simulation of Migration Effects in Solder Bumps. IEEE Trans. Device Mater. Rel., 8(3):442-448.

158
Weide-Zaage, K., Schlobohm, J., Rongen, R., Voogt, F., and Roucou, R. (2014). Simulation and Measurement of the Flip Chip Solder Bumps With a Cu-Plated Plastic Core. Microelectr. Reliab., 54(6-7):1206-1211.

159
Weldezion, A., Weerasekera, R., Pamunuwa, D. B., Zheng, L.-R., and Tenhunen, H. (2009). Bandwidth Optimization for Through Silicon Via (TSV) Bundles in 3D Integrated Circuits. In Proc. DATE.

160
Yoh, G. and Najm, F. N. (2000). A Statistical Model for Electromigration Failures. In Proc. ISQED, 45-50.

161
Zienkiewicz, O. C., Taylor, R. L., and Fox, D. (2014). The Finite Element Method for Solid and Structural Mechanics. Butterworth-Heinemann, 7th edition.

162
Zisser, W., Ceric, H., Weinbub, J., and Selberherr, S. (2014). Electromigration Reliability of Open TSV Structures. Microelectron. Reliab., 54(9-10):2133-2137.




M. Rovitto: Electromigration Reliability Issue in Interconnects for Three-Dimensional Integration Technologies