(image) (image) [Previous] [Next]

Degradation of Electrical Parameters of Power Semiconductor Devices – Process Influences and Modeling

Chapter 3 Process influences on BTI

Having established the qualitative difference of the degradation following negative or positive BTS, the focus is shifted towards the influences of individual processing steps of manufacturing on the quantitative degradation behavior. Considering the majority of microscopic models for BTI, the electrical activation of defect precursors is suspected as the root cause of the instability [JS77; Sch07; HDP06; Gra+11b]. Several types of these defect precursors are, as described in Section 1.3, partly composed of hydrogen. This suggests the involvement of H in the microscopic BTI degradation mechanism. A second argument for the participation of H is that several of the later listed BTI relevant process steps cause the incorporation of H in a device. The oxidation of the gate oxide is thereby not of importance, since the temperatures during oxidation of Si to form the Si-SiO2 interface are usually too high for hydrogen to stay in the gate oxide stack [DG65; Ste00; Pet05]. Instead, the hydrogen is introduced later, after formation of the Si-SiO2 interface, through different process steps during device manufacturing. The most important process step among them is most probably the annealing of the device in forming gas (mixture of nitrogen and hydrogen gas) after gate oxide formation. This process step has become a standard for the production of MOSFETs because it considerably decreases the number of electrically active interface traps [Kar+00]. But also during the deposition of dielectric layers of silicon nitride (SiN) a large amount of H is available for precursor defect creation. That is to say, every conventionally manufactured MOSFETs should have a considerable amount of H incorporated within the gate dielectric or at the active device interface and therefore H related precursor defects will be available in the majority of the devices.

Considering BTI, the most important hydrogen-related precursor defect is the H passivated Pb center, also because it was shown that BTS causes the creation of interface traps [JS77]. Consequently, the following chapter reviews models for the dissociation of the Pb H complex and other reactions involving hydrogen-related defect precursors. In an attempt to verify these models, the degradation following BTS is investigated for devices with a varying amount of H within the gate dielectric. Additionally, the impact of a particular process close to the end of the production cycle of a device, namely the power metallization, is investigated in more detail, since earlier results [Aic10] suggested an impact on BTI.

3.1 Hydrogen

The impact of hydrogen on BTI is studied in greater detail because interface traps are created during NBTS [JS77] and numerous Si–H precursors are existent at the Si-SiO2 interface [HKM70; BH71]. The main findings of this Section are also documented in [PobegenINBOOK13; PNG12; PNG13].

3.1.1 Pb H complex dissociation

Since the electrical activation of interface traps includes the dissociation of Si–H, this subsection discusses the possible microscopic transitions which have been proposed to occur during NBTS and result in electrically active interface traps.

Experimental evidence for the occurrence of the dissociation

Two main arguments propose the dissociation of Si–H to occur during NBTS. The first is direct experimental evidence from ESR measurements of three independent groups [Len+90; Fuj+03; Cam+06; Rya+10; YA11; Aic+12; Yon13] who report an increase of the signal density of defects from the Pb center family following NBTS. The second are purely electrical measurements which showed an increase in interface trap density [JS77; BNP91; Hua+03; SB03; AM05; Mah+06; ANG09b; Aic+10b; Ho+12] following NBTS. Both together give strong support that interface traps are indeed created through NBTS.

It is unlikely that the stress causes a dissociation of intact Si–O bonds at the exact interface between Si and SiO2 . This is because thermochemical measurements for bond dissociation energies suggest that the bond strength of Si–O in bulk SiO2 is with 8.3 eV [Ben65] much larger than the dissociation energy for Si–H in bulk Si with 3.3 eV [Dou57]. It is therefore evident that the Pb H complex precursor at the Si-SiO2 interface will be broken during NBTS rather than an intact Si–O bond. Consequently, the involvement of hydrogen in the creation of interface traps during NBTS appears as a requirement.

As already stated in Section 1.3.1, the dissociation energy of the Pb H complex was experimentally [Sta95a; Sta95b; Ste96b; Ste96a; Ste00; BM90; Bro88; Bro90] and theoretically [Edw91; TW99; KYK03; GHB09] determined to be around 2.5 eV to 2.9 eV. The time dynamics for thermal dissociation of a Pb H complex follows [Bro90]

(3.1) \begin{equation} \frac {[P_b]}{N_0} = 1 - \exp \left ( -k_\tn {d0} t \e ^{-\frac {E_\tn {d}}{\gls {kB}T}} \right ), \end{equation}

assuming a single-valued dissociation energy for the Pb H complex. One can estimate the time durations for purely thermal dissociation using the following values: maximum number of passivated Pb H complexes \( N_0 = \SI {e13}{\per \centi \meter \squared } \) [Ste93; SNA98], single-valued dissociation energy \( E_\tn {d}=\SI {2.56}{\electronvolt } \) and forward rate constant \( k_\tn {d0}=\SI {1.2e12}{\per \second } \) [Bro90]. For a rather small increase in the interface trap density of only 109 cm−2 at a typical NBTS temperature of 125 °C already around 1016 s ( \( 3\times 10^8 \) years) are obtained by solving

(3.2) \begin{equation} \displaystyle t = \frac { \log \left ( 1 - \frac {[P_b]}{N_0} \right ) }{ -k_\tn {d0} \e ^{-\frac {E_\tn {d}}{\gls {kB}T}} }.                          \end{equation}

As a result, the dissociation of a Pb H complex as a purely thermal process under typical NBTS conditions is very improbable and other mechanisms must be involved in the transition. In the following several approaches which attempt to resolve this issue are summarized.

Hole capture

The most prominent argument for a decrease of the dissociation energy of the Si–H bond is the capture of a hole prior to bond dissociation

(3.3) \begin{equation} \tn {Si--H} + h^+ \longrightarrow \tn {Si}\cdot + \tn {H}^+.                  \end{equation}

This argument is often used in a rather vague form [BNP91; OS95; AM05] as if it would not need any detailed clarification. In fact, a consistent and detailed explanation of how and why a captured hole reduces the bond-dissociation energy of Si–H is unclear. Questionable support for the idea stems mostly from density functional theory calculations for different defect types. The amount of dissociation energy reduction is thereby unclear and varies largely. The largest reduction is suggested for the Si–O bond in the context of time dependent dielectric breakdown (TDDB), where it is stated that a capture of a hole reduces the bond-dissociation energy by 2 eV [MKS00]. In the context of NBTI, the calculated decrease of the dissociation energy of Si–H is only 0.3 eV [Pan+07]. Accordingly, the applied electric stress field might only reduce the dissociation energy by another 0.1 eV, a reduction which is still far too small to make the dissociation likely under NBTS conditions.

Despite the too large dissociation energy it was suggested that the diffusion of the released hydrogen species determines the dynamics of NBTI instead of the reaction. The reaction-diffusion theory [JS77; BNP91; OS95; AM05; MKA04; Mah+11], which evolved from this idea, could, in spite of several attempts [Aic+10b; Gra+11c; SG11; SG12], not be used to consistently explain all features of experimental data. Hence, the focus in this Section lies in the reaction process of hydrogen dissociation which must precede any discussion on diffusion [Ree89].

Atomic hydrogen

An energetically more favorable reaction than the direct dissociation of Si–H with the capture of a hole is the dissociation with the help of a hydrogen atom

(3.4) \begin{equation} \tn {Si--H} + \tn {H} \longrightarrow \tn {Si} + \tn {H}_2 \label {ce:PbProtonDissociation} \end{equation}

which forms molecular hydrogen. This transition has a calculated barrier energy in the range of 0.95 eV [Tse+05]. This means that the existence of atomic hydrogen or a proton (also positively charged variants of reaction (3.4) are possible [Ras+01]) in the vicinity of a hydrogen passivated interface trap may lead to bond dissociation. A possible source for the atomic hydrogen might be the metal layers above the device [Hou+07], H passivated dopants within Si [Fuk+05; Tse+05] or hydrogen from molecular hydrogen (H2 ) cracked at E′ centers [Cam+06; Li+90; CL93; Edw95] or at trapped holes [Zha+00; Sta+93].

The occurrence of transition (3.4) during NBTS is supported by nuclear reaction analysis. This method detects gamma rays emitted by a nuclear reaction from a sample [Wil+02]. One of these nuclear reactions is

(3.5) \begin{equation} {}^1\tn {H} + {}^{15}\tn {N} \longrightarrow {}^{12}\tn {C} + {}^{4}\tn {He} + \gamma , \end{equation}

which occurs with high probability under bombardment with \( {}^{15}\tn {N}^{2+} \) nitrogen (N) ions of a material containing hydrogen. This technique has revealed that NBTS causes an accumulation of hydrogen atoms near the Si-SiO2 interface [Liu+02]. The hydrogen atoms concentration thereby peaks at a position roughly 4 nm away from the interface with a spread of about 8 nm [Wil+02]. This peak already exists before stress but increases through NBTS [Liu+02]. Since the overall number of \( {}^{15}\gls {N}^{2+} \) ions has to be kept low to avoid a measurement induced redistribution of hydrogen atoms, the work of Liu et al. [Liu+02] documented NBTS induced changes in the number of hydrogen atoms per square centimeter only for the estimated position of the interface. They could still show that the increase of hydrogen atoms at the interface with NBTS is larger than the increase of interface traps, which means that hydrogen is transported from other places within the semiconductor towards the interface. This indicates that additional H is available at the interface which can cause the creation of new interface traps via reaction (3.4).

Reference [Aic+12] gives another indication that atomic hydrogen could be involved in the microscopic NBTI degradation mechanism. It was found that a positive bias phase at room temperature after NBTS can lead to an increase in interfacial recombination centers even though the gate bias is too low to trigger PBTI. According to their explanation, atomic hydrogen, previously released through NBTS, returns to the interface because it is repelled from the positively charged gate and creates additional interface traps through transition (3.4). Additionally, the gated diode leakage current measured by the direct current–current voltage (DCIV) technique [Sah62; Neu+95; Cam+05] showed two distinct peaks where only one of them increased through the positive bias treatment. This coincided with ESR measurements which showed that the Pb0 center variant of the Pb center family on (100)Si-SiO2 reacts more readily with hydrogen than the other Pb1 center variant. This leads to the conclusion that atomic hydrogen is released from the Pb H complexes and may return to the interface and interact with the Pb0 center through a small positive bias at the gate. Furthermore, the ESR data of reference [Aic+12] indicated hyperfine peaks symmetrically around the Pb0 center, rather than around the E′ center. Symmetrical hyperfine peaks around the E′ center would have indicated the 10.4 G or 74 G variants of the E′ center described in Section 1.3.2. The symmetry around the Pb0 center signal indicates rather that the Pb0 center itself has a hyperfine interaction due to a hydrogen atom in the close vicinity, as e.g. in an anti-bonding configuration [TW99; AP07] or in a neighboring Si–Si bond [Ste00].

Trapped hole in vicinity

Another explanation for the creation of interface traps during NBTS stems from thermodynamical considerations [Len03]. Previous work showed that numerous E′ centers are created in the SiO2 layer during NBTS through hole trapping [Cam+06; Gra+09; Rya+10] and that E′ centers may become passivated with hydrogen [Li+90; CL93; LTM08]. The electrical activation of E′ centers during NBTS creates now a completely different environment for the hydrogen atoms bonded to Si atoms at the interface. The hydrogen atoms suddenly have an increasing number of possible states where they could reside. Now, from a thermodynamic perspective, the hydrogen atoms need to occupy the newly created free sites to minimize the Gibbs free energy of the whole system [Len03]. Many of the hydrogen atoms of the passivated Pb H complexes will transfer to the E′ centers in the SiO2 , leaving interface traps behind. That is, the creation of Pb centers may occur having E′ centers situated close to the interface.

Studies which tried to identify such a transition use ESR measurements which need to be conducted after the devices have been stressed. But after stress, most of the E′ centers either neutralize through emitting their positive charge, which can be understood as the commonly observed recovery, or become passivated by a hydrogen atom. Consistently, researchers observed either no E′ center signal [Fuj+03; Hou+07] or just a very small one close to the sensitivity limit of the equipment [Cam+06; Cam+05]. Only later studies [Rya+10] in a on the fly manner during NBTS showed that the E′ center signal can be large but vanishes quickly after termination of the stress.

The idea of the transition of H from a Pb center to an E′ center was combined with the Harry–Diamond–Laboratories (HDL) model for switching oxide traps [Lel+88; Lel+89; LO94] for the recoverable component to form the two-stage model for NBTI [Gra+09]. Two stages were needed because to independent components, one independent of the recovery bias having relatively long time constants and one susceptible to gate bias changes having relatively short time constants, were identified. This model states that the transition of hydrogen from a Pb H complex to an E′ centers in SiO2 [CL92; CL93] leads to a lock-in of the E′ center [Len03; Len07] and is summarized in Fig. 3.1.

(image)

Fig. 3.1: The two stage model for NBTI [Gra+09, Fig. 9a]. The recoverable component (stage one) is a switching oxide trap. A transition of H from a neighboring Pb H complex effectively locks this cycle (stage two).

The result of this transition is an equal amount of positive oxide charges and interface traps for the quasi-permanent component permanent component (P) of NBTI.

Variance in dissociation energy

ESR studies [Ste93; Ste02; Ste96b; Ste96a] revealed that the oxidation process of the SiO2 layer and there in particular the oxidation temperature can impact the variance of the distribution of the Si–H bond-dissociation energy. The reason for the variance is thereby the configurational distribution in the vicinity of the Pb center on an atomistic scale. The variance decreases with higher oxidation temperature because the temperature induced relaxation decreases the spread in configurational compositions for the Pb center defects [Ste02]. The largest reported variance for a Pb H complex association is 0.11 eV for (111)Si-SiO2 [Ste02] and 0.15 eV for (100)Si-SiO2 [Ste96b; Ste96a]. With this variance only a negligible part of the distribution around the mean dissociation energy of 2.83 eV could be dissociated at typical temperature ranges of NBTS.

However, there are at least two possible explanations how the dissociation energy, including the inherent spread, is further reduced. The first idea stems from studies concerning TDDB [MRM97], where it is proposed that the dissociation energy is reduced through a polarization effect. This means that the electric field pulls the negative and positive charge centroids, and therefore the molecule itself, apart. This results in a distortion of the atomic bond which reduces its dissociation energy. The dissociation energy reduces linearly by about 0.6 eV for a large NBTI oxide field of 10 MV/cm [Hua+07]. Another probable explanation is that for NBTI only one of the two vibrational modes of the Si–H bond may be crucial [Hua+07; GHB09]. This is because the bending mode is supposed to have a smaller dissociation energy of about 1.5 eV than the stretching mode [KYK03; TW99; GHB09] of the Si–H bond of about 2.5 eV. Both concepts together predict that there exists a distribution of activation energies a spread of up to 0.15 eV around the mean value of about 0.9 eV at 10 MV/cm. As a result, at least a low-energy fraction of the available Si–H bonds could be broken during NBTS [HDP06; Hua+07; GHB09].

Provided these assumptions are correct, the normal distribution of activation energies should be visible in NBTI stress and recovery data. Indeed, the normal distribution can be directly measured [HDP06], also through acceleration of the NBTS with high stress temperatures using the poly-heater [Pob+11b], see Section 5.3 for details. Furthermore, a model for NBTI, which was tested for large ranges of temperature, bias and time on several technologies, is based on the assumption of normally distributed activation energies [Gra+11a].

3.1.2 Process steps relevant for the H passivation degree

The ideas described above for Pb H complex dissociation give an idea for the possible microscopic transitions for the charge build-up during NBTS, but hardly provide any quantitative information concerning the impact of hydrogen. Furthermore, the results are mostly obtained on millimeter sized MOS capacitors (MOSCAPs) and not on micrometer sized MOSFETs, where a different situation may be observed.

In order to investigate the impact of hydrogen on BTI a variation of the hydrogen concentration near the Si-SiO2 interface through adapted processing is needed. A standard approach to passivate dangling bonds at the interface by hydrogen is to anneal the device in forming gas. However, the encapsulation layers of a fully processed device impede any further passivation making an experimental study on completely processed devices impossible. Consequently, the hydrogen passivation degree must be changed by specific process steps and adjustments during manufacturing.

Titanium layer thickness

The hydrogen passivation degree is impacted largely by the thickness of the titanium (Ti) layer between the metal and the dielectric layers, i.e. by the amount of Ti within the back end of line (BEOL) stack [Pom+05; Aic+10b; Aic10; Aic+10a; CK11; PNG12; PNG13]. Ti was shown to gather H efficiently [MLR91; WME92; Pom+05]. Therefore, the Ti suppresses the diffusion of hydrogen from H-rich layers located in a higher level of the stack towards the Si-SiO2 interface. Time of flight secondary ion mass spectroscopy measurements [Aic+10b; Puc11] showed that a thinner Ti barrier leads to an increased accumulation of hydrogen near the Si-SiO2 interface. Also, electrical measurements using CP [Aic+10b; PNG12; PNG13] illustrated in Fig. 3.2 show that the amount of electrically detectable defects increases with decreasing thickness of the Ti layer.

(image)

Fig. 3.2: Constant base level CP measurements for devices with more or less H at the interface through variation of the Ti layer thickness in the BEOL stack. The base level is ±1 V for the p- and nMOSFETs, respectively. Rising/falling slopes are 40 ns/V at 1 MHz frequency.
Silicon nitride deposition

SiN is frequently used as an encapsulation layer in semiconductor processing due to its good insulating (resistance and electric breakdown field) and elastic properties. Commonly, SiN is deposited in a low-pressure chemical vapor deposition (LPCVD) process from silane (SiH4 ) mixed with molecular N (N2 ) or ammonia (NH3 ) [SCN80]. As a byproduct of the reaction, a lot of H is released which either stays in the SiN layer or diffuses towards the interface [SCN80; Nel+05]. In essence, the deposition of SiN can have a large impact on the device reliability, despite this process step being carried out after the device is already completed. It is speculated here that the SiN deposition is the most important process step for the passivation of interface traps with hydrogen for production quality devices. The impact of SiN deposition may only be reduced by diffusion hindering layers from materials such as Ti.

Metal layers

The type of metal used for wiring may also have an impact on the reliability of the devices on the wafer. This is because some metals are believed to have the ability to split H2 into two reactive H which may cause additional damage at the Si-SiO2 interface. See Section 3.2 for details.

3.1.3 Quantitative impact on the quasi-permanent NBTI

A previously investigated [Aic+10b; Aic10] wafer split with differently thick Ti layers within the BEOL stack was available in the thesis. The main result of [Aic+10b; Aic10] is the two-stage model described in Section 3.1.1 and in this respect especially the finding that the degree of hydrogen passivation impacts only the quasi-permanent component of NBTI and leaves the quickly recovering component mostly unaffected. Please refer to references [Aic+10b; Aic10] for details on this topic.

Maximum drift

In [Aic+10b] the impact of hydrogen passivation on the maximum drift potential of a device, that is the sum of precursors and defects, was not investigated. In reference [Aic+10b] it was speculated, but not shown, that the maximum drift of a device increases with hydrogen passivation. One might expect that the device with more H near the interface will drift more since it has a larger number of passivated defects which make up the difference to the device with less H near the interface. If hydrogen only transforms existing defects at the interface to precursors, one single maximum degradation level (math image) for both devices is expected. The measurement of (math image) remains unachievable because a complete saturation of NBTI degradation has not been reported yet [HDP06; Pob+11b]. However, an estimate of (math image) can be given through acceleration of the stress with very high stress temperatures, which was shown to considerably reduce the time constants of all defects constituting NBTI [Pob+11b]. In Fig. 3.3 the result of an NBTI test at 400 °C stress temperature is shown.

(image)

Fig. 3.3: Change of the threshold voltage with intensive 400 °C stress for two devices with more or less H near the interface [PNG12]. At 100 ms the value of the virgin threshold voltage determined by the linear extrapolation method [Sch06] is marked.

The high stress temperature is achieved using the poly-heater, an on-chip heating structure, as described in more detail in Chapter 4. The (math image) of the device with more H near the interface exceeds the value of the device with less H already after less than a second of stress at 400 °C. At lower temperatures this happens after considerable longer times of about 100 ks [Aic+10b]. Even though a complete saturation of the degradation is not observed also at this high stress temperature, it is shown that the maximum drift potential of the device with more H near the interface is much larger compared to the device with less H. To conclude, hydrogen passivation increases the precursor density for NBTI [Zha+00; Pom+05; Nel+05; Aic+10b; PNG12].

H dependence of the decrease of the recoverable component

The two stage model for NBTI as described in Section 3.1.1 suggests that a hydrogen atom is detached from a Pb H complex at the interface and transfers into an E′ center to lock the switching oxide trap. Therefore, not only an increase of the permanent damage but also a decrease in the number of recoverable charges with increasing stress should be observed. If the lock-in mechanism is efficient, a large decrease of the cyclic charge in a measurement–stress–measurement (MSM) experiment should be observed. In contrast, the experimental data shown in Fig. 3.4 and Fig. 3.5 indicates an existence of this mechanism which is rather close to the detection limit.

(image)

Fig. 3.4: Stress time dependence of recoverable component (R) and P (see definition in the figure or subsequent paragraph for details) of two devices with a different hydrogen passivation level and a 30 nm thick gate oxide. The more H device was baked with the poly-heater at 400 °C for 60 s and re-stressed which lead to an equivalent result.

(image)

Fig. 3.5: Stress time dependence of R and P for two devices with more or less H near the interface and a rather thin 3.7 nm gate oxide [PNG12].

The main difference between the experiments in Fig. 3.4 and Fig. 3.5 is the thickness of the SiO2 . While Fig. 3.4 displays data measured on a device with a 30 nm thick gate oxide, Fig. 3.5 shows data of a device with a 3.7 nm thick gate oxide. The 3.7 nm device shows a smaller decrease in R because of the smaller impact of a single charge on the (math image) as described in Section 1.2 and equation (1.1). An unambiguous identification of the decrease of R with increasing P would resolve the large debate whether [Gra+09; Aic+10b] or not [Ho+12; Hua10] R and P are connected on a microscopic scale.

Stress temperature dependence

To investigate the temperature dependence of BTI it is important to vary the stress temperature independently of the recovery temperature. This can be performed with the poly-heater, which will be explained in more detail in Chapter 4. The possibility of rapid temperature switches while keeping the bias applied to the gate is a unique possibility which provides more accurate degradation data. Especially compared to conventionally measured data where equal stress and recovery temperature accelerates not only the stress phase but also the recovery phase. A common approach is thereby to model the recovery behavior at different temperatures and subtract the recovery temperature influence from the stress data [Pob+11b]. This approach, however, introduces errors which alter the conclusions deduced from such measurements.

In the particular example shown in Fig. 3.6 the stress temperature is varied between 100 °C and 250 °C, while the recovery or measurement temperature is always at 50 °C.

(image)

Fig. 3.6: Dependence of NBTI degradation on the stress temperature with the unique feature of a constant recovery temperature [PNG13]. The definitions of \( \gls {R}, \gls {R}^\prime , \gls {P} \) and \( \gls {P}^\prime    \) are described in more detail in the text.

In Fig. 3.6 two possible definitions of the recoverable R and permanent component P are compared.

  • • The unprimed R and P values are defined by the recovery time constants (and not the bias dependence) of the previously charged defects similar as in Fig. 3.5 and 3.4. That is, R is the amount of (math image) which recovers between the first and the last measurement point (10 ms and 10 s after the termination of stress, respectively). P is defined as the remaining (math image) at the end of the 10 s recovery period.

  • • In contrast, the primed R’ and P’ values reflect the susceptibility of the defects to a short phase of zero or positive bias at the gate. In particular, R’ is the difference between the (math image) 10 ms after stress and the (math image) after the accumulation period, while P’ is the (math image) value after the accumulation period.

One observes that, independent of the particular definition, mostly the permanent part of the NBTI degradation is impacted by the hydrogen content near the interface. Especially the primed drift P’, i.e. the charge which is activated through NBTS and cannot be annihilated by a short phase with zero or positive bias at the gate, is largely affected by the hydrogen passivation. Also the unprimed permanent drift P is affected by the hydrogen passivation. It is remarked that the visible impact on P only appears small but is in fact rather large. The short recovery phase of only 10 s annihilates only a fraction of the recoverable charges. Consequently, the unprimed permanent component P includes also a large fraction of recoverable charges which shift the characteristic upwards in the semi-logarithmic plot, making the difference to appear vanishingly small. The largest impact of the hydrogen passivation is evident for P’. The different H passivation causes a parallel vertical shift between the two characteristics. The vertical shift in the logarithmic plot corresponds to a multiplicative factor of 10. This means that there exist about 10 times less defect precursors for P’ if there is less H near the interface. Furthermore, a vertical shift also indicates that the capture parameters of these defect precursors are not changed with hydrogen passivation, only the number of precursor defects changes.

3.1.4 Recovery of the quasi-permanent component

The remaining (math image) after a gate bias switch to accumulation is called quasi-permanent because it usually cannot be further reduced [ANG09a; Aic+10b; Gra+11c]. Through a CP measurement after NBTS, the device is frequently accumulated and P’ is usually obtained already after only a few pulses [Aic+10b]. Consequently, CP after NBTS allows to conveniently analyze the quasi-permanent component P’ of NBTI. Since the degradation is temperature activated, it is likely that increased temperatures may also allow to remove P’. Indeed, the degradation can be nullified by heating the device to high temperatures with the poly-heater (see Chapter 4 for details to the poly-heater), as shown in Fig. 3.7 [PobegenTDMR13; Kat08; BOG08].

(image)

Fig. 3.7: Relative decrease of the density of interface traps measured with CP over constant temperature baking time at zero gate and drain bias. The data is compared to the assumption of normally distributed activation energies for defect discharging, see Section 5.3 for details [Ste96a].

If the quasi-permanent component consists of interface traps the recovery must behave similarly as Pb center passivation in an H2 containing atmosphere [Ste96a]. For this case, it was reported that the recovery follows the model

(3.6) \begin{equation} \frac {[P_b]}{N_0} = \frac {1}{\sqrt {2 \pi } \sigma _{E_f}} \int _{E_f-3\sigma _{E_f}}^{E_f+3\sigma _{E_f}} \exp \left ( - \frac {(E-E_f)^2}{2 \sigma _{E_f}^2} - k_{f,0} [H_2] t_\tn
{bake} \exp \left ( -\frac {E}{\gls {kB}T} \right ) \right ) \tn {d}E. \label {eq:StesmansModel} \end{equation}

which supposes the Pb center passivation to be a reaction limited process with normally distributed barrier energies. Here, \( [H_2] \) is the volume concentration of molecular hydrogen in amorphous SiO2 after [She77] and \( k_{f,0} \) is the forward rate constant. \( E_f \) are the normally distributed forward passivation energies and \( \sigma _{E_f} \) is the corresponding variance. The response to an annealing experiment is therefore given by the integration over all possible passivation energies. For numerical reasons integration limits \( \pm 3 \sigma _{E_f} \) around \( E_f \) are sufficient. The values for defects of the Pb center family at the (100)Si–SiO2 interface are for Pb0 centers: \( E_f\approx \SI {1.51}{\electronvolt } \), \( \sigma _{E_f}\approx \SI {0.14}{\electronvolt } \) and \( k_{f,0}\approx \SI {1.43e-6}{\cm ^{3}\per \second } \) and for Pb1 centers: \( E_f\approx \SI {1.57}{\electronvolt } \), \( \sigma _{E_f}\approx \SI {0.15}{\electronvolt } \) and \( k_{f,0}\approx \SI {1.43e-6}{\cm ^{3}\per \second } \) [Ste96a].

In order to prove whether interface trap recovery follows this model also in the particular MOSFET devices under study, a test device was subjected to hot carrier stress (HCS). This degradation mechanism is understood to create interface traps close to drain side of the transistor [BH10; RR10; TG12]. That is to say, interface traps are created by purpose to the test the recovery dynamics with high temperatures in the particular device [HOF93]. An analysis of the recovery from this damage using zero bias bake steps lead to the values \( E_f=\SI [separate-uncertainty]{1.6(1)}{\electronvolt } \), \( \sigma _{E_f}\approx \SI [separate-uncertainty]{0.20(2)}{\electronvolt } \) and \( k_{f,0}=7\times 10^{-4} (6\times 10^{-5}/9\times 10^{-3})\,\tn {cm}^{3}/\tn {s} \), which are very close to the previously reported values [Pob+13]. So temperature assisted interface trap recovery follows the assumption of normally distributed barrier energies for Pb center passivation.

In contrast (see Fig. 3.7), the removal following NBTS occurs rather slowly, incompatible with the assumption of normally distributed activation energies. This indicates that the quasi-permanent component of NBTI is not due to interface traps alone, but another type of charge with presumably different barrier energy for passivation is involved [ANG13]. It is therefore challenging to answer how exactly the quasi-permanent component is annihilated with baking. However, the temperature activated recovery allows extending the lifetime of a device through irregular baking steps. This idea has already been suggested to extend the lifetime of flash memory cells [Lue+12].

3.1.5 Impact of H passivation on PBTI

In order to study the impact of hydrogen on positive BTI only, preventing any unintentional NBTI, the experiments have been performed on nMOSFETs with \( n^{++} \) doped poly-gates. As already described in Chapter 2, PBTI in \( n^{++} \) gated devices creates acceptor-like traps in both n- and pMOSFETs, but only in nMOSFETs these charges are visible in (math image) [Pob+11a].

In Fig. 3.8 the impact of the hydrogen passivation on the PBTI of an nMOSFET is depicted.

(image)

Fig. 3.8: PBTI drift as a function of stress duration in an MSM experiment [PNG12]. H passivation reduces the susceptibility for PBTI.

The hydrogen passivation has the opposite impact on PBTI compared to NBTI: less degradation after PBTS for devices with more H near the interface is observed. Through analysis of MOSCAP capacitance voltage (CV) measurements before and after PBTS for more and less H it is found that PBTS shifts the flat-band voltage (math image) to more positive values, see Fig. 3.9.

(image)

Fig. 3.9: MOSCAP CV characteristics of two devices with more or less H near the interface before/after PBTS [PNG12].

This indicates either the creation of negative charges or the neutralization of positive charges, respectively.

Determination of the charge polarity

Unfortunately, the theoretical charge-free (math image) values of the devices under test are not exactly known because of insufficient knowledge of the doping levels of the substrate and the poly gate. The following discussion will give some insight on how to determine the charge sign of the stress induced oxide charges.

  • • Interstitially incorporated hydrogen: Ab initio calculations [Ras+01] suggest that H+ is the only stable state of H at the Si-SiO2 interface. So if interstitial H accounts for the difference in the CV characteristics of Fig. 3.9, the more H CV characteristic would need to be shifted toward negative infinity, which is the opposite of our experimental result. From this can also be concluded that all H is bound to other atoms of the solid.

  • • Hydrogen bonded to boron (B) dopants: H can in principle form a bond with B dopants in the bulk of the Si [Fuk+05]. This passivates the B acceptor which leads to a decreased doping concentration [Fuk+05]. A decrease of the doping level decreases the value of the minimal capacitance in a CV measurement. However, no significant decrease of the minimal capacitance of the nMOSFET CV curve in Fig. 3.9 was observed. Furthermore, NBTI experiments on devices with and without a surface B implantation of about 1011/cm2 (not shown) did not exhibit any differences regarding BTI behavior.

  • • Passivation of interface and oxide traps: It is known that hydrogen passivates silicon dangling bonds at the Si-SiO2 interface, namely the Pb centers, as described in Section 3.1. These traps are amphoteric, meaning that they are charged positively when the Fermi level is close to the silicon valence band edge and charged negatively when the Fermi level is close to the conduction band edge [LD82]. If hydrogen would passivate only amphoteric Pb centers one would expect positive charge passivation at negative gate voltages and negative charge passivation at positive gate voltages assuming that the gate voltage where the Fermi level is close to the intrinsic energy level is approximately at 0 V. This does not correspond to what is observed in both n- and pMOSFET devices in the CV characteristic as shown in Fig. 3.10.

    (image)

    Fig. 3.10: CV characteristics of n- and pMOSFETs with more or less H near the interface [PNG12]. The CV measurements have been performed at an oscillation level of 100 mV and a frequency of 510 kHz.

    There, H reduces positive charge by about 1010/cm2, both at the (math image) and at the (math image) for the pMOSFET as well as at the (math image) of the nMOSFET. The reduction is smaller at (math image) of the pMOSFET because of the passivation of the Pb center and for the nMOSFET at the (math image) the number of interface traps exceeds the number of positive charges which results in net negative charge. Nevertheless, also for the nMOSFET positive charges are passivated with hydrogen. That is to say, all device types (MOSCAP or MOSFET) and substrate doping types show the passivation of interface traps and positive oxide charges with hydrogen. Consequently, since positive charge passivation for a large voltage range is observed, it has to be concluded that not only amphoteric traps, but also positive charges are passivated through hydrogen. Such an effect has already been reported in literature [CL93; Nel+05].

In order to analyze the electrical properties of the passivated defects, CP measurements with varying frequency (math image) were performed on the two differently passivated devices, see Fig. 3.11.

(image)

Fig. 3.11: Frequency dependent charge-pumping experiment [PNG12]. Pulse rising and falling slopes are 100 ns/V. The number of charges pumped per cycle \( N_\tn {CP} \) is calculated as \( N_\tn {CP}=I_\tn {CP}/(q f A) \).

In a frequency dependent CP measurement the number of charges pumped per cycle (math image) stays constant over (math image) if only interface traps are considered [Gro+84]. Usually, an increase of (math image) with decreasing frequency is observed due to border traps with larger time constants [Fle92]. The characteristics in Fig. 3.11 are normalized at 1 MHz to account for the large difference in virgin interface trap density of the devices (less H: \( \gls {Dit} \approx \SI {e10}{\per \centi \meter \squared \per \electronvolt } \), more H: \( \gls {Dit} \approx \SI {e9}{\per \centi \meter \squared \per \electronvolt } \)) [Aic+10b]. Numerous border traps on the devices with less H near the interface are observed. This indicates that hydrogen passivates border traps and interface states, the former being positively charged.

Model for the apparent negative charge build up during PBTS

The larger drift in Fig. 3.8 of the device with less H near the interface means that the PBTS neutralizes previously existing positive charges within the gate oxide or at the Si-SiO2 interface. That is to say, hydrogen reduces the number of precursors for PBTI, as in stark contrast to NBTI where the precursor density is increased. The neutralization of positive charges appears as a negative charge increase because of the missing reference point which would be the charge-free (math image) value. In Fig. 3.12 the band diagram of an nMOSFET device during PBTS and recovery is shown.

(image)

Fig. 3.12: Simplified band diagram of an nMOSFET with an \( n^{++} \) doped poly gate in thermal equilibrium and during PBTS [PNG12]. Charge exchange with the gate and band bending are neglected. Empty circles above the Fermi level represent positively charged and filled circles below the Fermi level neutral traps, respectively.

It is believed [Pob+11b] that the hydrogen passivation decreases the density of positive charges within the SiO2 which can be activated in a PBTI experiment.

Additionally, Fig. 3.9 reveals that the hydrogen passivation has a similar neutralization effect as PBTS. That is, both shift the CV characteristic towards positive infinity by neutralizing positive charges. In conclusion, the positive charges present after manufacturing of the device may either be neutralized by hydrogen passivation or by PBTS, the latter leading only to a temporary neutralization [PNG12; PNG13].