(image) (image) [ Home ]

Emulation and Simulation of
Microelectronic Fabrication Processes

B.2 DREAM Sequence Model

The closing of the top of the etched feature during the deposition cycles of the DREAM sequence can be avoided by properly tuning the ashing step. Otherwise, the closing leads to a decrease in etchant concentration reaching the bottom of the feature, leading to slowed etch rates. The step coverage \(n_r\) of a deep via is given by [289]

\begin{equation} n_r = \frac {2 e^{-h_T}}{1 + e^{-2h_T}} \quad , \label {eq::step_cov} \end{equation}

where \(h_T\) is the Thiele modulus describing the conformality of a process. For \(h_T \ll 1\), the process is conformal, while for \(h_T \gg 1\) the concentration of active particles down the feature varies strongly. For simple geometries, analytical expressions for \(h_T\) can be found, such as for a deep cylindrical via with diameter \(d\):

\begin{equation} h_T = \sqrt {3\beta } \, \frac {z}{d} \quad , \end{equation}

where \(\beta \) is the etchant sticking probability and \(z\) the vertical coordinate down the via.

When \(h_T \approx 1\), the process is transitioning between being conformal and non-conformal, meaning that tapering will start at this point down the via. The etchant concentration in Eq. (B.8) at this point down the via can be approximated as

\begin{equation} n_r \approx \sech (1) \left ( 1 - \tanh (1) (h_T - 1) \right ) \quad , \label {eq::DREAM_approx} \end{equation}

where the etch rate in the via is directly proportional to this concentration. Therefore, for a given depth down the via \(z\), the step coverage \(n_r\) depends only on the top opening of the via \(d\). Since \(n_r\) and the etch rate ratio \(r_e\) defined in the previous section are equivalent, they can simply be written as

\begin{equation} r_e = n_r \propto -\frac {1}{d} \quad . \end{equation}

If there is no ashing, as is the case for the DREM sequence, the top diameter \(d\) will decrease by a constant value for each cycle, leading to a linear decrease in \(d\) with time. Assuming the ashing removes passivating material at a constant rate, the closing rate of the top opening is also slowed linearly. Therefore, the opening diameter \(d\) at the top of the feature during the last cycle is directly proportional to the ash time \(t_a\). If the ash time is increased, then also the final top opening diameter \(d\) is increased if all other process parameters stay the same so that \(t_a \propto d\). Therefore, this linear model for the effect of ash time on the etch rate ratio is written as

\begin{equation} r_e(t_a) = p_0 - \frac {p_1}{p_2 + t_a} \quad , \end{equation}

where \(p0\), \(p1\) and \(p2\) are fitting parameters encompassing all physical parameters of the system. These also allow for a minimal ash time required to start removing passivating material, given as

\begin{equation} t_0 = \frac {p_1}{p_0} - p_2 \quad , \end{equation}

as well as a maximum time, above which additional ashing does not have an effect, written as

\begin{equation} t_m = \frac {p_1}{p_0 - 1} - p_2 \quad . \end{equation}

Model Fitting

The above model was fit to experimental data in [268] for 100 cycles of the DREAM process. In order to give the best approximation of Eq. (B.8) using Eq. (B.10), the depth \(L_t\) at which tapering is assumed to start in the model should be taken at the depth where \(r_e = 0.96\), i.e. the depth at which the via diameter is 0.96 times the initial top opening diameter. From the experimental data, this depth was found to be \(L_t = \SI {24.96}{\micro \meter }\) from the top opening of the via. Since the etch depth per cycle was found to be \(d_c = \SI {0.37}{\micro \meter }\), the first 67 cycles were not influenced by the tapering. Measurements of the depths of vias for different ash times were taken to find values for \(D\), which could be used to find experimental values of \(r_e\) for each ash time \(t_a\). These measured values and the model fit are shown in Fig. B.1 with error bars indicating the measured values and the least squares fit shown in orange. From this fit, the parameters for the model could be extracted and were found as \(p_0=1.17\), \(p_1=\SI {0.59}{\second }\) and \(p_2=\SI {-0.44}{\second }\).

(image)

Figure B.1: Fit of the DREAM Sequence model to experimental values of the etch depth ratio generated from trench depths for different ash times of the DREAM sequence presented in [268]. Experimental values are shown as blue error bars and the fitted model is shown as an orange line going from \(r_e=0\) to \(r_e=1\).

Bibliography

  • [1]  G. E. Moore, “Progress in digital integrated electronics,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 1975, pp. 11–13. doi: 10.1109/N-SSC.2006.4804410.

  • [2]  W. Shockley, “Circuit element utilizing semiconductive material,” U.S. Patent 2 569 347, 1948, [Accessed: 2021, July]. [Online]. Available: https://patents.google.com/patent/US2569347A/en

  • [3]  R. N. Noyce, “Semiconductor device-and-lead structure,” U.S. Patent 2 981 877, 1959. doi: 10.1109/N-SSC.2007.4785577.

  • [4]  C. D. Brock, How Moore’s Law came to be. Computer History Museum, 2015, pp. 31–33, [Accessed: 2021, July]. [Online]. Available: http://s3data.computerhistory.org/core/core-2015.pdf

  • [5]  T. N. Theis and H.-S. P. Wong, “The end of Moore’s Law: A new beginning for information technology,” Computing in Science & Engineering, vol. 19, no. 2, pp. 41–50, 2016. doi: 10.1109/MCSE.2017.29.

  • [6]  B. J. Lin, “The future of subhalf-micrometer optical lithography,” Microelectronic Engineering, vol. 6, no. 1-4, pp. 31–51, 1987. doi: 10.1016/0167-9317(87)90015-3.

  • [7]  P. Chidambaram, C. Bowen, S. Chakravarthi, C. Machala, and R. Wise, “Fundamentals of silicon material properties for successful exploitation of strain engineering in modern CMOS manufacturing,” IEEE Transactions on Electron Devices, vol. 53, no. 5, pp. 944–964, 2006. doi: 10.1109/TED.2006.872912.

  • [8]  T. Skotnicki, J. Hutchby, T. King, H.-S. Wong, and F. Boeuf, “The end of CMOS scaling: Toward the introduction of new materials and structural changes to improve MOSFET performance,” IEEE Circuits and Devices Magazine, vol. 21, no. 1, pp. 16–26, 2005. doi: 10.1109/MCD.2005.1388765.

  • [9]  P. Batude et al., “Advances in 3D CMOS sequential integration,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2009, pp. 1–4. doi: 10.1109/IEDM.2009.5424352.

  • [10]  C.-t. Sah, R. Noyce, and W. Shockley, “Carrier generation and recombination in P-N junctions and P-N junction characteristics,” Proc. of the IRE, vol. 45, no. 9, pp. 1228–1243, 1957. doi: 10.1109/JRPROC.1957.278528.

  • [11]  H. Gummel, “A self-consistent iterative scheme for one-dimensional steady state transistor calculations,” IEEE Transactions on Electron Devices, vol. 11, no. 10, pp. 455–465, 1964. doi: 10.1109/T-ED.1964.15364.

  • [12]  Y. Y. Illarionov, M. I. Vexler, M. Karner, S. E. Tyaginov, J. Cervenka, and T. Grasser, “TCAD simulation of tunneling leakage current in CaF2/Si(111) MIS structures,” Current Applied Physics, vol. 15, no. 2, pp. 78–83, 2015. doi: 10.1016/j.cap.2014.10.015.

  • [13]  International Technology Roadmap for Semiconductors, 2015, [Accessed: 2021, July]. [Online]. Available: http://www.itrs2.net/

  • [14]  A. R. Brown et al., “From devices to circuits: Modelling the performance of 5 nm nanosheets,” in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2019, pp. 1–4. doi: 10.1109/SISPAD.2019.8870357.

  • [15]  R. J. Baker, CMOS: Circuit Design, Layout, and Simulation. John Wiley & Sons, 2019.

  • [16]  C. Auth, “22-nm fully-depleted tri-gate CMOS transistors,” in Proc. Custom Integrated Circuits Conference (CICC). IEEE, 2012, pp. 1–6. doi: 10.1109/CICC.2012.6330657.

  • [17]  B. W. Smith, Y. Fan, M. Slocum, and L. Zavyalova, “25 nm immersion lithography at 193 nm wavelength,” in Proc. Optical Microlithography. SPIE, 2005, p. 12. doi: 10.1117/12.602414.

  • [18]  C. Bencher, Y. Chen, H. Dai, W. Montgomery, and L. Huli, “22 nm half-pitch patterning by CVD spacer self alignment double patterning (SADP),” in Proc. Optical Microlithography, vol. 6924. SPIE, 2008, p. 69244E. doi: 10.1117/12.772953.

  • [19]  G. Yeap et al., “5 nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021 µm2 SRAM cells for mobile SoC and high performance computing applications,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2019, pp. 36.7.1–36.7.4. doi: 10.1109/IEDM19573.2019.8993577.

  • [20]  ViennaTS - The Vienna Topography Simulator. [Online]. Available: https://github.com/viennats/viennats-dev

  • [21]  S. Narasimha et al., “22 nm high-performance SOI technology featuring dual-embedded stressors, epi-plate high-k deep-trench embedded DRAM and self-aligned via 15LM BEOL,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2012, pp. 3.3.1–3.3.4. doi: 10.1109/IEDM.2012.6478971.

  • [22]  Y. Nishi and R. Doering, Handbook of Semiconductor Manufacturing Technology. CRC Press, 2017. doi: 10.1201/9781420017663.

  • [23]  R. Chau et al., “Advanced metal gate/high-k dielectric stacks for high-performance CMOS transistors,” in Proc. International Conference of Microelectronics and Interfaces (ICMI), vol. 3. AVS, 2004, [Accessed: 2021, July]. [Online]. Available: https://www.intel.com/content/dam/doc/white-paper/high-k-gate-dielectrics-for-cmos-transistors-paper.pdf

  • [24]  T.-Y. J. Chang et al., “A 5-nm 135-Mb SRAM in EUV and high-mobility channel FinFET technology with metal coupling and charge-sharing write-assist circuitry schemes for high-density and low-VMIN applications,” IEEE Journal of Solid-State Circuits, vol. 56, no. 1, pp. 179–187, 2021. doi: 10.1109/JSSC.2020.3034241.

  • [25]  A. Kostovic. (2020) GLOBALFOUNDRIES and GlobalWafers sign MOU to increase capacity, supply of 300 mm SOI wafers. [Accessed: 2021, July]. [Online]. Available: https://www.techpowerup.com/264207/globalfoundries-and-globalwafers-sign-mou-to-increase-capacity-supply-of-300mm-soi-wafers

  • [26]  D. Pan, D. Guan, T.-C. Jen, and C. Yuan, “Atomic layer deposition process modeling and experimental investigation for sustainable manufacturing of nano thin films,” Journal of Manufacturing Science and Engineering, vol. 138, no. 10, pp. 101 010–1 – 101 010–9, 2016. doi: 10.1115/1.4034475.

  • [27]  E. C. Nwanna, R. A. M. Coetzee, and T.-C. Jen, “Investigating the purge flow rate in a reactor scale simulation of an atomic layer deposition process,” in Proc. International Mechanical Engineering Congress and Exposition (IMECE), vol. 2B. American Society of Mechanical Engineers, 2019. doi: 10.1115/IMECE2019-10692.

  • [28]  Y. Zhang, Y. Ding, and P. D. Christofides, “Multiscale computational fluid dynamics modeling of thermal atomic layer deposition with application to chamber design,” Chemical Engineering Research and Design, vol. 147, pp. 529–544, 2019. doi: 10.1016/j.cherd.2019.05.049.

  • [29]  P. Zheng, D. Connelly, F. Ding, and T.-J. K. Liu, “FinFET evolution toward stacked-nanowire FET for CMOS technology scaling,” IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 3945–3950, 2015. doi: 10.1109/TED.2015.2487367.

  • [30]  D. J. Bell, T. J. Lu, N. A. Fleck, and S. M. Spearing, “MEMS actuators and sensors: Observations on their performance and selection for purpose,” Journal of Micromechanics and Microengineering, vol. 15, no. 7, pp. S153–S164, 2005. doi: 10.1088/0960-1317/15/7/022.

  • [31]  L. Pelaz, L. Marques, M. Aboy, P. Lopez, I. Santos, and R. Duffy, “Atomistic process modeling based on kinetic monte carlo and molecular dynamics for optimization of advanced devices,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2009, pp. 1–4. doi: 10.1109/IEDM.2009.5424309.

  • [32]  P. Castrillo, R. Pinacho, M. Jaraiz, and J. E. Rubio, “Physical modeling and implementation scheme of native defect diffusion and interdiffusion in SiGe heterostructures for atomistic process simulation,” Journal of Applied Physics, vol. 109, no. 10, pp. 103 502–1 – 103 502–13, 2011. doi: 10.1063/1.3581113.

  • [33]  L. Pelaz, L. A. Marqués, M. Aboy, P. López, and I. Santos, “Front-end process modeling in silicon,” The European Physical Journal B, vol. 72, no. 3, pp. 323–359, 2009. doi: 10.1140/epjb/e2009-00378-9.

  • [34]  M. Shayan, “Study on atomistic model for simulation of anisotropic wet etching,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 10, no. 2, pp. 029 701–1 – 029 701–6, 2011. doi: 10.1117/1.3586798.

  • [35]  M. Fermeglia, A. Mio, S. Aulic, D. Marson, E. Laurini, and S. Pricl, “Multiscale molecular modelling for the design of nanostructured polymer systems: Industrial applications,” Molecular Systems Design & Engineering, vol. 5, no. 9, pp. 1447–1476, 2020. doi: 10.1039/D0ME00109K.

  • [36]  R. Bergamaschini, M. Salvalaglio, R. Backofen, A. Voigt, and F. Montalenti, “Continuum modelling of semiconductor heteroepitaxy: An applied perspective,” Advances in Physics: X, vol. 1, no. 3, pp. 331–367, 2016. doi: 10.1080/23746149.2016.1181986.

  • [37]  N. Zographos, C. Zechner, I. Martin-Bragado, K. Lee, and Y.-S. Oh, “Multiscale modeling of doping processes in advanced semiconductor devices,” Materials Science in Semiconductor Processing, vol. 62, pp. 49–61, 2017. doi: 10.1016/j.mssp.2016.10.037.

  • [38]  T. Thurgate, “Segment-based etch algorithm and modeling,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 10, no. 9, pp. 1101–1109, 1991. doi: 10.1109/43.85756.

  • [39]  J. Bigler, A. Stephens, and S. Parker, “Design for parallel interactive ray tracing systems,” in Proc. Interactive Ray Tracing (RT). IEEE, 2006, pp. 187–196. doi: 10.1109/RT.2006.280230.

  • [40]  A. Agathos, I. Pratikakis, S. Perantonis, N. Sapidis, and P. Azariadis, “3D mesh segmentation methodologies for CAD applications,” Computer-Aided Design and Applications, vol. 4, no. 6, pp. 827–841, 2007. doi: 10.1080/16864360.2007.10738515.

  • [41]  Cha Zhang and Tsuhan Chen, “Efficient feature extraction for 2D/3D objects in mesh representation,” in Proc. International Conference on Image Processing (ICIP), vol. 2. IEEE, 2001, pp. 935–938. doi: 10.1109/ICIP.2001.958278.

  • [42]  S. Osher and J. A. Sethian, “Fronts propagating with curvature-dependent speed: Algorithms based on hamilton-jacobi formulations,” Journal of Computational Physics, vol. 79, no. 1, pp. 12–49, 1988. doi: 10.1016/0021-9991(88)90002-2.

  • [43]  J. Bloomenthal et al., Introduction to Implicit Surfaces. Morgan Kaufmann Publishers, 1997.

  • [44]  J. Sethian, Level Set Methods and Fast Marching Methods: Evolving Interfaces in Computational Geometry, Fluid Mechanics, Computer Vision, and Materials Science. Cambridge University Press, 1999.

  • [45]  M. Pauly, M. Gross, and L. P. Kobbelt, “Efficient simplification of point-sampled surfaces,” in Proc. Visualization (VIS). IEEE, 2002, pp. 163–170. doi: 10.1109/VISUAL.2002.1183771.

  • [46]  D. L. Chopp, “Computing minimal surfaces via level set curvature flow,” Journal of Computational Physics, vol. 106, no. 1, pp. 77–91, 1993. doi: 10.1006/jcph.1993.1092.

  • [47]  D. Adalsteinsson and J. A. Sethian, “A fast level set method for propagating interfaces,” Journal of Computational Physics, vol. 118, no. 2, pp. 269–277, 1995. doi: 10.1006/jcph.1995.1098.

  • [48]  S. Osher and R. Fedkiw, Level Set Methods and Dynamic Implicit Surfaces. Springer, 2003, vol. 153.

  • [49]  J. A. Sethian, “A fast marching level set method for monotonically advancing fronts,” Proceedings of the National Academy of Sciences, vol. 93, no. 4, pp. 1591–1595, 1996. doi: 10.1073/pnas.93.4.1591.

  • [50]  J. Tsitsiklis, “Efficient algorithms for globally optimal trajectories,” IEEE Transactions on Automatic Control, vol. 40, no. 9, pp. 1528–1538, 1995. doi: 10.1109/9.412624.

  • [51]  Y.-h. R. Tsai, “Rapid and accurate computation of the distance function using grids,” Journal of Computational Physics, vol. 178, no. 1, pp. 175–195, 2002. doi: 10.1006/jcph.2002.7028.

  • [52]  S. Kim, “An \(\mathcal {O}(n)\) level set method for eikonal equations,” SIAM Journal on Scientific Computing, vol. 22, no. 6, pp. 2178–2193, 2001. doi: 10.1137/S1064827500367130.

  • [53]  L. Yatziv, A. Bartesaghi, and G. Sapiro, “\(\mathcal {O}(n)\) implementation of the fast marching algorithm,” Journal of Computational Physics, vol. 212, no. 2, pp. 393–399, 2006. doi: 10.1016/j.jcp.2005.08.005.

  • [54]  H. K. Zhao, “Fast sweeping method for eikonal equations,” Mathematics of Computation, vol. 74, no. 250, pp. 603–627, 2005. doi: 10.1090/S0025-5718-04-01678-3.

  • [55]  C. Y. Kao, S. Osher, and J. Qian, “Lax–Friedrichs sweeping scheme for static Hamilton–Jacobi equations,” Journal of Computational Physics, vol. 196, no. 1, pp. 367–391, 2004. doi: 10.1016/j.jcp.2003.11.007.

  • [56]  H. Zhao, “Parallel implementations of the fast sweeping method,” Journal of Computational Mathematics, pp. 421–429, 2007. [Online]. Available: http://www.jstor.org/stable/43693378

  • [57]  W.-K. Jeong and R. T. Whitaker, “A fast iterative method for eikonal equations,” SIAM Journal on Scientific Computing, vol. 30, no. 5, pp. 2512–2534, 2008. doi: 10.1137/060670298.

  • [58]  R. T. Whitaker, “A level-set approach to 3D reconstruction from range data,” International Journal of Computer Vision, vol. 29, no. 3, pp. 203–231, 1998. doi: 10.1023/A:1008036829907.

  • [59]  R. Malladi and J. A. Sethian, “Image processing via level set curvature flow,” Proceedings of the National Academy of Sciences, vol. 92, no. 15, pp. 7046–7050, 1995. doi: 10.1073/pnas.92.15.7046.

  • [60]  O. Ertl and S. Selberherr, “Three-dimensional topography simulation using advanced level set and ray tracing methods,” in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2008, pp. 325–328. doi: 10.1109/SISPAD.2008.4648303.

  • [61]  R. Goldman, “Curvature formulas for implicit curves and surfaces,” Computer Aided Geometric Design, vol. 22, no. 7, pp. 632–658, 2005. doi: 10.1016/j.cagd.2005.06.005.

  • [62]  Y. Qin et al., “Research and application of boolean operation for triangular mesh model of underground space engineering—boolean operation for triangular mesh model,” Energy Science & Engineering, vol. 7, no. 4, pp. 1154–1165, 2019. doi: 10.1002/ese3.335.

  • [63]  A. Pasko, V. Adzhiev, A. Sourin, and V. Savchenko, “Function representation in geometric modeling: Concepts, implementation and applications,” The Visual Computer, vol. 11, no. 8, pp. 429–446, 1995. doi: 10.1007/BF02464333.

  • [64]  B. Wyvill, A. Guy, and E. Galin, “Extending the CSG tree. Warping, blending and boolean operations in an implicit surface modeling system,” Computer Graphics Forum, vol. 18, no. 2, pp. 149–158, 1999. doi: 10.1111/1467-8659.00365.

  • [65]  A. Requicha and H. Voelcker, “Boolean operations in solid modeling: Boundary evaluation and merging algorithms,” Proceedings of the IEEE, vol. 73, no. 1, pp. 30–44, 1985. doi: 10.1109/PROC.1985.13108.

  • [66]  A. V. Kumar, S. Padmanabhan, and R. Burla, “Implicit boundary method for finite element analysis using non-conforming mesh or grid,” International Journal for Numerical Methods in Engineering, vol. 74, no. 9, pp. 1421–1447, 2008. doi: 10.1002/nme.2216.

  • [67]  G. S. Oehrlein, “Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine-based reactive ion etching of silicon trenches,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 8, no. 6, pp. 1199–1211, 1990. doi: 10.1116/1.584896.

  • [68]  S. M. George, “Atomic layer deposition: An overview,” Chemical Reviews, vol. 110, no. 1, pp. 111–131, 2010. doi: 10.1021/cr900056b.

  • [69]  N. Boukortt, B. Hadri, S. Patanè, A. Caddemi, and G. Crupi, “Investigation on TG n-FinFET parameters by varying channel doping concentration and gate length,” Silicon, vol. 9, no. 6, pp. 885–893, 2017. doi: 10.1007/s12633-016-9528-3.

  • [70]  S. R. Suddapalli and B. R. Nistala, “Analog/RF performance of graded channel gate stack triple material double gate strained-Si MOSFET with fixed charges,” Silicon, 2021. doi: 10.1007/s12633-021-01028-0.

  • [71]  Q. Du and D. Wang, “Tetrahedral mesh generation and optimization based on centroidal Voronoi tessellations,” International Journal for Numerical Methods in Engineering, vol. 56, no. 9, pp. 1355–1373, 2003. doi: 10.1002/nme.616.

  • [72]  Z. Wang et al., “3-D local mesh refinement XFEM with variable-node hexahedron elements for extraction of stress intensity factors of straight and curved planar cracks,” Computer Methods in Applied Mechanics and Engineering, vol. 313, pp. 375–405, 2017. doi: 10.1016/j.cma.2016.10.011.

  • [73]  K. Wang, K. Adimulam, and T. Kesavadas, “Tetrahedral mesh visualization in a game engine,” in Proc. Virtual Reality and 3D User Interfaces (VR). IEEE, 2018, pp. 719–720. doi: 10.1109/VR.2018.8446544.

  • [74]  K. Yagi, S. Tanaka, T. Kawahara, K. Nihei, H. Okada, and N. Osawa, “Evaluation of crack propagation behaviors in a T-shaped tubular joint employing tetrahedral FE modeling,” International Journal of Fatigue, vol. 96, pp. 270–282, 2017. doi: 10.1016/j.ijfatigue.2016.11.028.

  • [75]  A. Makarov et al., “Hot-carrier degradation in FinFETs: Modeling, peculiarities, and impact of device topology,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2017, pp. 13.1.1–13.1.4. doi: 10.1109/IEDM.2017.8268381.

  • [76]  H. Si, “TetGen, a delaunay-based quality tetrahedral mesh generator,” ACM Transactions on Mathematical Software, vol. 41, no. 2, pp. 1–36, 2015. doi: 10.1145/2629697.

  • [77]  M. Lee, L. De Floriani, and H. Samet, “Constant-time neighbor finding in hierarchical tetrahedral meshes,” in Proc. International Conference on Shape Modeling and Applications (SMA). IEEE, 2001, pp. 286–295. doi: 10.1109/SMA.2001.923400.

  • [78]  E. Strasser and S. Selberherr, “Algorithms and models for cellular based topography simulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 14, no. 9, pp. 1104–1114, 1995. doi: 10.1109/43.406712.

  • [79]  Y. Zhang, C. Huard, S. Sriraman, J. Belen, A. Paterson, and M. J. Kushner, “Investigation of feature orientation and consequences of ion tilting during plasma etching with a three-dimensional feature profile simulator,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 35, no. 2, pp. 021 303–1–021 303–16, 2017. doi: 10.1116/1.4968392.

  • [80]  C. M. Huard, “Nano-Scale Feature Profile Modeling of Plasma Material Processing,” Ph.D. dissertation, University of Michigan, 2018, [Accessed: 2021, July]. [Online]. Available: https://ui.adsabs.harvard.edu/abs/2018PhDT.......110H

  • [81]  K. Toh, A. Neureuther, and E. Scheckler, “Algorithms for simulation of three-dimensional etching,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 13, no. 5, pp. 616–624, 1994. doi: 10.1109/43.277635.

  • [82]  M. Fujinaga and N. Kotani, “3-D topography simulator (3-D MULSS) based on a physical description of material topography,” IEEE Transactions on Electron Devices, vol. 44, no. 2, pp. 226–238, 1997. doi: 10.1109/16.557710.

  • [83]  Z.-F. Zhou, Q.-A. Huang, W.-H. Li, and W. Lu, “A novel 3-D dynamic cellular automata model for photoresist-etching process simulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 1, pp. 100–114, 2007. doi: 10.1109/TCAD.2006.882510.

  • [84]  M. E. Law and S. M. Cea, “Continuum based modeling of silicon integrated circuit processing: An object oriented approach,” Computational Materials Science, vol. 12, no. 4, pp. 289–308, 1998. doi: 10.1016/S0927-0256(98)00020-2.

  • [85]  P. Alliez, E. de Verdire, O. Devillers, and M. Isenburg, “Isotropic surface remeshing,” in Proc. Shape Modelling International (SMI), vol. 2003. IEEE, 2003, pp. 49–58. doi: 10.1109/SMI.2003.1199601.

  • [86]  J. C. Butcher and N. Goodwin, Numerical Methods for Ordinary Differential Equations. Wiley Online Library, 2008, vol. 2.

  • [87]  A. Harten, P. D. Lax, and B. van Leer, “On upstream differencing and godunov-type schemes for hyperbolic conservation laws,” SIAM Review, vol. 25, no. 1, pp. 35–61, 1983. doi: 10.1137/1025002.

  • [88]  P. L. Roe, “Approximate riemann solvers, parameter vectors, and difference schemes,” Journal of Computational Physics, vol. 43, pp. 357–372, 1981. doi: doi: 10.1016/0021-9991(81)90128-5.

  • [89]  C.-W. Shu, “Total-variation-diminishing time discretizations,” SIAM Journal on Scientific and Statistical Computing, vol. 9, no. 6, pp. 1073–1084, 1988. doi: 10.1137/0909073.

  • [90]  R. Malladi, J. A. Sethian, and B. C. Vemuri, “Shape modeling with front propagation: A level set approach,” IEEE Transactions on Pattern Analysis and Machine Intelligence, vol. 17, no. 2, pp. 158–175, 1995. doi: 10.1109/34.368173.

  • [91]  D. Adalsteinsson and J. A. Sethian, “The fast construction of extension velocities in level set methods,” Journal of Computational Physics, vol. 148, no. 1, pp. 2–22, 1999. doi: 10.1006/jcph.1998.6090.

  • [92]  R. Courant, K. Friedrichs, and H. Lewy, “Über die partiellen Differenzengleichungen der mathematischen Physik,” Mathematische Annalen, vol. 100, no. 1, pp. 32–74, 1928. doi: 10.1007/BF01448839.

  • [93]  R. Courant, E. Isaacson, and M. Rees, “On the solution of nonlinear hyperbolic differential equations by finite differences,” Communications on Pure and Applied Mathematics, vol. 5, no. 3, pp. 243–255, 1952. doi: 10.1002/cpa.3160050303.

  • [94]  B. Engquist and S. Osher, “Stable and entropy satisfying approximations for transonic flow calculations,” Mathematics of Computation, vol. 34, no. 149, p. 45, 1980. doi: 10.2307/2006220.

  • [95]  B. Radjenović, J. K. Lee, and M. Radmilović-Radjenović, “Sparse field level set method for non-convex hamiltonians in 3D plasma etching profile simulations,” Computer Physics Communications, vol. 174, no. 2, pp. 127–132, 2006. doi: 10.1016/j.cpc.2005.09.010.

  • [96]  S. Osher and C.-W. Shu, “High-order essentially nonoscillatory schemes for Hamilton-Jacobi equations,” SIAM Journal on Numerical Analysis, vol. 28, no. 4, pp. 907–922, 1991. doi: 10.1137/0728049.

  • [97]  R. J. LeVeque et al., Finite Volume Methods for Hyperbolic Problems. Cambridge University Press, 2002, vol. 31. doi: 10.1017/CBO9780511791253.

  • [98]  M. G. Crandall and P. L. Lions, “Two approximations of solutions of hamilton-jacobi equations,” Mathematics of Computation, vol. 43, no. 167, p. 1, 1984. doi: 10.2307/2007396.

  • [99]  C. Montoliu, N. Ferrando, M. Gosálvez, J. Cerdá, and R. Colom, “Implementation and evaluation of the level set method: Towards efficient and accurate simulation of wet etching for microengineering applications,” Computer Physics Communications, vol. 184, no. 10, pp. 2299–2309, 2013. doi: 10.1016/j.cpc.2013.05.016.

  • [100]  A. Toifl et al., “The level-set method for multi-material wet etching and non-planar selective epitaxy,” IEEE Access, vol. 8, pp. 115 406–115 422, 2020. doi: 10.1109/ACCESS.2020.3004136.

  • [101]  W. H. Press, H. William, S. A. Teukolsky, W. T. Vetterling, A. Saul, and B. P. Flannery, Numerical Recipes 3rd Edition: The Art of Scientific Computing. Cambridge University Press, 2007.

  • [102]  A. Harten and S. Osher, “Uniformly high-order accurate nonoscillatory schemes. I,” SIAM Journal on Numerical Analysis, vol. 24, no. 2, pp. 279–309, 1987. doi: 10.1137/0724022.

  • [103]  C.-W. Shu and S. Osher, “Efficient implementation of essentially non-oscillatory shock-capturing schemes,” Journal of Computational Physics, vol. 77, no. 2, pp. 439–471, 1988. doi: 10.1016/0021-9991(88)90177-5.

  • [104]  C.-W. Shu and S. Osher, “Efficient implementation of essentially non-oscillatory shock-capturing schemes, II,” in Upwind and High-Resolution Schemes. Springer, 1989, pp. 328–374. doi: 10.1016/0021-9991(89)90222-2.

  • [105]  M. F. Trujillo, L. Anumolu, and D. Ryddner, “The distortion of the level set gradient under advection,” Journal of Computational Physics, vol. 334, pp. 81–101, 2017. doi: 10.1016/j.jcp.2016.11.050.

  • [106]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Geometric advection algorithm for process emulation,” in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2020, pp. 59–62. doi: 10.23919/SISPAD49475.2020.9241678.

  • [107]  P. Pfäffli et al., “TCAD modeling for reliability,” Microelectronics Reliability, vol. 88-90, pp. 1083–1089, 2018. doi: 10.1016/j.microrel.2018.06.109.

  • [108]  Z. Tan, M. Furmanczyk, M. Turowski, and A. J. Przekwas, “CFD-micromesh: A fast geometric modeling and mesh generation tool for 3D microsystem simulations,” in Proc. Design, Test, Integration, and Packaging of MEMS/MOEMSS (DTIP). SPIE, 2000, pp. 193–199. doi: 10.1117/12.382289.

  • [109]  C. M. Huard, Y. Zhang, S. Sriraman, A. Paterson, and M. J. Kushner, “Role of neutral transport in aspect ratio dependent plasma etching of three-dimensional features,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 35, no. 5, pp. 05C301–1 – 05C301–18, 2017. doi: 10.1116/1.4973953.

  • [110]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Unified feature scale model for etching in SF6 and Cl plasma chemistries,” in Proc. EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS). IEEE, 2018, pp. 1–4. doi: 10.1109/ULIS.2018.8354763.

  • [111]  L. Wang, A. R. Brown, B. Cheng, and A. Asenov, “Simulation of 3D FinFET doping profiles by ion implantation,” in Proc. Ion Implantation Technology. AIP, 2012, pp. 217–220. doi: 10.1063/1.4766527.

  • [112]  J.-Y. Park, G.-B. Lee, and Y.-K. Choi, “A comparative study of the curing effects of local and global thermal annealing on a FinFET,” IEEE Journal of the Electron Devices Society, vol. 7, pp. 954–958, 2019. doi: 10.1109/JEDS.2019.2937802.

  • [113]  D. Andriukaitis, R. Anilionis, and T. Kersys, “LOCOS CMOS process simulation,” in Proc. Information Technology Interfaces (ITI). IEEE, 2006, pp. 489–494. doi: 10.1109/ITI.2006.1708530.

  • [114]  Y. H. Lee, “Silicon doping effects in reactive plasma etching,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 4, no. 2, pp. 468–475, 1986. doi: 10.1116/1.583405. . [Online]. Available: http://scitation.aip.org/content/avs/journal/jvstb/4/2/10.1116/1.583405

  • [115]  M. Uematsu, H. Kageshima, K. Shiraishi, M. Nagase, S. Horiguchi, and Y. Takahashi, “Two-dimensional simulation of pattern-dependent oxidation of silicon nanostructures on silicon-on-insulator substrates,” Solid-State Electronics, vol. 48, no. 6, pp. 1073–1078, 2004. doi: 10.1016/j.sse.2003.12.019.

  • [116]  G. Schröpfer, D. King, C. Kennedy, and M. McNie, “Advanced process emulation and circuit simulation for co-design of MEMS and CMOS devices,” in Proc. Design, Test, Integration, and Packaging of MEMS/MOEMSS (DTIP). SPIE, 2005, [Accessed: 2021, July]. [Online]. Available: https://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.118.4615&rep=rep1&type=pdf

  • [117]  B. Vianne et al., “Investigations on contact punch-through in 28 nm fdsoi through virtual fabrication,” in Proc. SOI-3D-Subthreshold Microelectronics Technology Unified (S3S), vol. 2018-March. IEEE, 2017, pp. 1–2. doi: 10.1109/S3S.2017.8309236.

  • [118]  J.-H. Franke, M. Gallagher, G. Murdoch, S. Halder, A. Juncker, and W. Clark, “EPE analysis of sub-N10 BEoL flow with and without fully self-aligned via using Coventor SEMulator3D,” in Proc. Metrology, Inspection, and Process Control for Microlithography, vol. 10145. SPIE, 2017, pp. 1 014 529–1–1 014 529–10. doi: 10.1117/12.2258195.

  • [119]  G. Murdoch et al., “Feasibility study of fully self aligned vias for 5 nm node BEOL,” in Proc. International Interconnect Technology Conference (IITC). IEEE, 2017, pp. 1–4. doi: 10.1109/IITC-AMC.2017.7968958.

  • [120]  M. J. Chopra, X. Zhu, Z. Z. Zhang, S. Helpert, R. Verma, and R. Bonnecaze, “A model-based, Bayesian approach to the CF4/Ar etch of SiO2,” in Proc. Design-Process-Technology Co-optimization for Manufacturability. SPIE, 2018, p. 15. doi: 10.1117/12.2297482.

  • [121]  J. Yeom, Y. Wu, J. C. Selby, and M. A. Shannon, “Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 23, no. 6, pp. 2319 – 2329, 2005. doi: 10.1116/1.2101678.

  • [122]  W. Vincenti and C. Kruger, Introduction to Physical Gas Dynamics. Krieger Publishing Company, 1967.

  • [123]  J. D. Plummer, M. D. Deal, and P. B. Griffin, Silicon VLSI Technology - Fundamentals, Practice and Modeling. Prentice Hall, 2000.

  • [124]  B. Cossou et al., “Synthesis and optimization of low-pressure chemical vapor deposition-silicon nitride coatings deposited from SiHCl3 and NH3,” Thin Solid Films, vol. 681, pp. 47–57, 2019. doi: 10.1016/j.tsf.2019.04.045.

  • [125]  H. Setyawan, M. Shimada, K. Ohtsuka, and K. Okuyama, “Visualization and numerical simulation of fine particle transport in a low-pressure parallel plate chemical vapor deposition reactor,” Chemical Engineering Science, vol. 57, no. 3, pp. 497–506, 2002. doi: 10.1016/S0009-2509(01)00373-6.

  • [126]  T. P. Merchant, M. K. Gobbert, T. S. Cale, and L. J. Borucki, “Multiple scale integrated modeling of deposition processes,” Thin Solid Films, vol. 365, no. 2, pp. 368–375, 2000. doi: 10.1016/S0040-6090(99)01055-X.

  • [127]  J.-H. Kim, S.-W. Cho, C. J. Park, H. Chae, and C.-K. Kim, “Angular dependences of SiO2 etch rates at different bias voltages in CF4, C2F6, and C4F8 plasmas,” Thin Solid Films, vol. 637, pp. 43–48, 2017. doi: 10.1016/j.tsf.2017.03.047.

  • [128]  R. A. Gottscho, “Microscopic uniformity in plasma etching,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 10, no. 5, pp. 2133–2147, 1992. doi: 10.1116/1.586180.

  • [129]  R. A. Gottscho, “Ion transport anisotropy in low pressure, high density plasmas,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 11, no. 5, pp. 1884 – 1889, 1993. doi: 10.1116/1.586516.

  • [130]  H.-B. Kim, G. Hobler, A. Steiger, A. Lugstein, and E. Bertagnolli, “Full three-dimensional simulation of focused ion beam micro/nanofabrication,” Nanotechnology, vol. 18, no. 24, pp. 245 303–1 – 245 303–9, 2007. doi: 10.1088/0957-4484/18/24/245303.

  • [131]  E. Wagner et al., “Geometry of chemical beam vapor deposition system for efficient combinatorial investigations of thin oxide films: Deposited film properties versus precursor flow simulations,” ACS Combinatorial Science, vol. 18, no. 3, pp. 154–161, 2016. doi: 10.1021/acscombsci.5b00146.

  • [132]  G. Teeter, “Conceptual design of a deposition system for uniform and combinatorial synthesis of multinary thin-film materials via open-boat physical-vapor deposition,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 4, pp. 1119–1127, 2006. doi: 10.1116/1.2208991.

  • [133]  J.-L. Vassent, A. Marty, B. Gilles, and C. Chatillon, “Angular distribution of molecular beams and homogeneous layer growth: Optimization of geometrical parameters in molecular beam epitaxy,” Vacuum, vol. 64, no. 1, pp. 65–85, 2001. doi: 10.1016/S0042-207X(01)00376-1.

  • [134]  J. Ou and Y. Huang, “Ion energy distribution in a radio frequency sheath of plasma with kappa electron velocity distribution,” Contributions to Plasma Physics, vol. 61, no. 2, pp. e202 000 108–1 – e202 000 108–8, 2021. doi: 10.1002/ctpp.202000108.

  • [135]  S. Chatterjee, “Prediction of step coverage during blanket CVD tungsten deposition in cylindrical pores,” Journal of The Electrochemical Society, vol. 137, no. 1, pp. 328–335, 1990. doi: 10.1149/1.2086413.

  • [136]  G. B. Raupp and T. S. Cale, “Step coverage prediction in low-pressure chemical vapor deposition,” Chemistry of Materials, vol. 1, no. 2, pp. 207–214, 1989. doi: 10.1021/cm00002a009.

  • [137]  M. Ylilammi, O. M. E. Ylivaara, and R. L. Puurunen, “Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures,” Journal of Applied Physics, vol. 123, no. 20, pp. 205 301–1 – 205 301–8, 2018. doi: 10.1063/1.5028178.

  • [138]  R. L. Cook, “Shade trees,” in Proc. Computer Graphics and Interactive Techniques (SIGGRAPH). ACM Press, 1984, pp. 223–231. doi: 10.1145/800031.808602.

  • [139]  I. Wald et al., “State of the art in ray tracing animated scenes,” Computer Graphics Forum, vol. 28, no. 6, pp. 1691–1722, 2009. doi: 10.1111/j.1467-8659.2008.01313.x.

  • [140]  S. Parker, M. Parker, Y. Livnat, P. P. Sloan, C. Hansen, and P. Shirley, “Interactive ray tracing for volume visualization,” in Proc. Computer Graphics and Interactive Techniques (SIGGRAPH). ACM, 2005, pp. 15–1–15–13. doi: 10.1145/1198555.1198754.

  • [141]  T. J. Purcell, I. Buck, W. R. Mark, and P. Hanrahan, “Ray tracing on programmable graphics hardware,” in Proc. Computer Graphics and Interactive Techniques (SIGGRAPH). ACM, 2002, pp. 703–712. doi: 10.1145/566570.566640.

  • [142]  P. L. O’Sullivan, F. H. Baumann, and G. H. Gilmer, “Simulation of physical vapor deposition into trenches and vias: Validation and comparison with experiment,” Journal of Applied Physics, vol. 88, no. 7, pp. 4061 – 4068, 2000. doi: 10.1063/1.1310182.

  • [143]  J. Singh and P. Narayanan, “Real-time ray tracing of implicit surfaces on the GPU,” IEEE Transactions on Visualization and Computer Graphics, vol. 16, no. 2, pp. 261–272, 2010. doi: 10.1109/TVCG.2009.41.

  • [144]  J. C. Hart, SIGGRAPH Course Notes: Ray tracing implicit surfaces. Association for Computing Machinery, 1993, vol. 1, [Accessed: 2021, July]. [Online]. Available: https://infoguides.rit.edu/computer-graphics/coursenotes

  • [145]  J. C. Hart, “Sphere tracing: A geometric method for the antialiased ray tracing of implicit surfaces,” The Visual Computer, vol. 12, no. 10, pp. 527–545, 1996. doi: 10.1007/s003710050084.

  • [146]  D. DeMarle, S. Parker, M. Hartner, C. Gribble, and C. Hansen, “Distributed interactive ray tracing for large volume visualization,” IEEE Sensors Journal, pp. 87–94, 2003. doi: 10.1109/PVGS.2003.1249046.

  • [147]  P. Manstetten, J. Weinbub, A. Hössinger, and S. Selberherr, “Using temporary explicit meshes for direct flux calculation on implicit surfaces,” Procedia Computer Science, vol. 108, pp. 245–254, 2017. doi: 10.1016/j.procs.2017.05.067.

  • [148]  J.-C. Yu et al., “Three-dimensional simulation of DRIE process based on the narrow band level set and monte carlo method,” Micromachines, vol. 9, no. 2, pp. 74–1 – 74–12, 2018. doi: 10.3390/mi9020074.

  • [149]  J. C. Arnold and H. H. Sawin, “Charging of pattern features during plasma etching,” Journal of Applied Physics, vol. 70, no. 10, pp. 5314–5317, 1991. doi: 10.1063/1.350241.

  • [150]  Seong-Hyok Kim, Sang-Hun Lee, Hyung-Taek Lim, Yong-Kweon Kim, and Seung-Ki Lee, “[110] silicon etching for high aspect ratio comb structures,” in Proc. Conference on Emerging Technologies and Factory Automation (EFTA). IEEE, 1997, pp. 248–252. doi: 10.1109/ETFA.1997.616277.

  • [151]  H. Liao and T. S. Cale, “Three-dimensional simulation of an isolation trench refill process,” Thin Solid Films, vol. 236, no. 1-2, pp. 352–358, 1993. doi: 10.1016/0040-6090(93)90695-L.

  • [152]  D. Adalsteinsson and J. A. Sethian, “A level set approach to a unified model for etching, deposition, and lithography,” Journal of Computational Physics, vol. 138, no. 1, pp. 193–223, 1997. doi: 10.1006/jcph.1997.5817.

  • [153]  D. Strnad, “Parallel terrain visibility calculation on the graphics processing unit,” Concurrency and Computation: Practice and Experience, vol. 23, no. 18, pp. 2452–2462, 2011. doi: 10.1002/cpe.1808.

  • [154]  P. Manstetten, “Efficient flux calculations for topography simulation,” Ph.D. dissertation, TU Wien, 2018. doi: 10.34726/hss.2018.57263.

  • [155]  N. Cheimarios, G. Kokkoris, and A. G. Boudouvis, “Multiscale modeling in chemical vapor deposition processes: Coupling reactor scale with feature scale computations,” Chemical Engineering Science, vol. 65, no. 17, pp. 5018–5028, 2010. doi: 10.1016/j.ces.2010.06.004.

  • [156]  B. Abraham-Shrauner, “Analytic models for plasma-assisted etching of semiconductor trenches,” Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, vol. 12, no. 4, pp. 2347 – 2351, 1994. doi: 10.1116/1.587762.

  • [157]  M. Tuda, K. Nishikawa, and K. Ono, “Numerical study of the etch anisotropy in low-pressure, high-density plasma etching,” Journal of Applied Physics, vol. 81, no. 2, pp. 960–967, 1997. doi: 10.1063/1.364189.

  • [158]  D. C. Gray, “Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 11, no. 4, pp. 1243 – 1257, 1993. doi: 10.1116/1.586925.

  • [159]  R. A. Barker, “Surface studies of and a mass balance model for Ar+ ion-assisted Cl2 etching of Si,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 1, no. 1, pp. 37 – 42, 1983. doi: 10.1116/1.582539.

  • [160]  A. L. Magna and G. Garozzo, “Factors affecting profile evolution in plasma etching of SiO2,” Journal of The Electrochemical Society, vol. 150, no. 10, pp. F178 – F185, 2003. doi: 10.1149/1.1602084.

  • [161]  D. J. Cooperberg, V. Vahedi, and R. A. Gottscho, “Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 20, no. 5, pp. 1536–1556, 2002. doi: 10.1116/1.1494818.

  • [162]  O. Ertl and S. Selberherr, “Three-dimensional plasma etching simulation using advanced ray tracing and level set techniques,” in Proc. Microelectronics Technology and Devices (SBMicro). ECS, 2009, pp. 61–68. doi: 10.1149/1.3183702.

  • [163]  X. Klemenschits, O. Ertl, P. Manstetten, J. Weinbub, and L. Filipovic. ViennaHRLE - Hierarchical Run-Length Encoded Data Structure. [Online]. Available: https://github.com/ViennaTools/ViennaHRLE

  • [164]  X. Klemenschits, O. Ertl, P. Manstetten, J. Weinbub, and L. Filipovic. ViennaLS - A High Performance Sparse Level Set Library. [Online]. Available: https://github.com/ViennaTools/ViennaLS

  • [165]  T. Reiter, A. Scharinger, and X. Klemenschits. ViennaRay - Top Down MC Ray Tracing library. [Online]. Available: https://github.com/ViennaTools/ViennaRay

  • [166]  X. Klemenschits, P. Manstetten, J. Weinbub, and L. Filipovic. ViennaPS - Vienna Process Simulation Library. [Online]. Available: https://github.com/ViennaTools/ViennaPS

  • [167]  B. Houston, M. B. Nielson, C. Batty, O. Nilsson, and K. Museth, “Hierarchical RLE level set: A compact and versatile deformable surface representation,” ACM Transactions on Graphics, vol. 25, no. 1, pp. 151–175, 2006. doi: http://doi.acm.org/10.1145/1122501.1122508.

  • [168]  S. P. Awate and R. T. Whitaker, “An interactive parallel multiprocessor level-set solver with dynamic load balancing,” 2004. [Online]. Available: https://www.cs.utah.edu/docs/techreports/2005/pdf/UUCS-05-002.pdf

  • [169]  O. Ertl, “Numerical Methods for Topography Simulation,” Ph.D. dissertation, Institut für Mikroelektronik, 2010. doi: 10.34726/hss.2010.001.

  • [170]  W. E. Lorensen and H. E. Cline, “Marching cubes: A high resolution 3D surface construction algorithm,” in Proc. Computer Graphics and Interactive Techniques (SIGGRAPH). ACM, 1987, pp. 163–169. doi: 10.1145/37401.37422.

  • [171]  D. R. Chand and S. S. Kapur, “An algorithm for convex polytopes,” Journal of the American Chemical Society, vol. 17, no. 1, pp. 78 – 86, 1970. doi: 10.1145/321556.321564.

  • [172]  J. Shen, D. Zhang, Y. Wang, and Y. Gan, “AFM and SEM study on crystallographic and topographical evolution of wet-etched patterned sapphire substrates (PSS),” ECS Journal of Solid State Science and Technology, vol. 6, no. 1, pp. R24–R34, 2017. doi: 10.1149/2.0221701jss.

  • [173]  J. Shen, D. Zhang, Y. Wang, and Y. Gan, “AFM and SEM study on crystallographic and topographical evolutions of wet-etched patterned sapphire substrate (PSS): Part ii. cone-shaped PSS etched in H2SO4 and H3PO4 mixture with varying volume ratio at 230°c,” ECS Journal of Solid State Science and Technology, vol. 6, no. 9, pp. R122–R130, 2017. doi: 10.1149/2.0091709jss.

  • [174]  Y. K. Ooi and J. Zhang, “Light extraction efficiency analysis of flip-chip ultraviolet light-emitting diodes with patterned sapphire substrate,” IEEE Photonics Journal, vol. 10, no. 4, pp. 1–13, 2018. doi: 10.1109/JPHOT.2018.2847226.

  • [175]  L. Zhou and A. Pang, “Metrics and visualization tools for surface mesh comparison,” in Proc. Visual Data Exploration and Analysis. SPIE, 2001, pp. 99–110. doi: 10.1117/12.424920.

  • [176]  M. Kampl and H. Kosina, “The backward Monte Carlo method for semiconductor device simulation,” Journal of Computational Electronics, vol. 17, no. 4, pp. 1492–1504, 2018. doi: 10.1007/s10825-018-1225-6.

  • [177]  L. Gnam, P. Manstetten, A. Hössinger, S. Selberherr, and J. Weinbub, “Accelerating flux calculations using sparse sampling,” Micromachines, vol. 9, no. 11, pp. 550–1 – 550–18, 2018. doi: 10.3390/mi9110550.

  • [178]  A. Kensler and P. Shirley, “Optimizing ray-triangle intersection via automated search,” in Proc. Interactive Ray Tracing (RT). IEEE, 2006, pp. 33–38. doi: 10.1109/RT.2006.280212.

  • [179]  X. Lu, Z. Deng, and W. Chen, “A robust scheme for feature-preserving mesh denoising,” IEEE Transactions on Visualization and Computer Graphics, vol. 22, no. 3, pp. 1181–1194, 2016. doi: 10.1109/TVCG.2015.2500222.

  • [180]  A. Khadidos, V. Sanchez, and C.-T. Li, “Weighted level set evolution based on local edge features for medical image segmentation,” IEEE Transactions on Image Processing, vol. 26, no. 4, pp. 1979–1991, 2017. doi: 10.1109/TIP.2017.2666042.

  • [181]  M. E. Coltrin, R. J. Kee, and J. A. Miller, “A mathematical model of silicon chemical vapor deposition: Further refinements and the effects of thermal diffusion,” Journal of The Electrochemical Society, vol. 133, no. 6, pp. 1206–1213, 1986. doi: 10.1149/1.2108820.

  • [182]  H. Matsumura, “Formation of polysilicon films by catalytic chemical vapor deposition (cat-CVD) method,” Japanese Journal of Applied Physics, vol. 30, no. Part 2, No. 8B, pp. L1522–L1524, 1991. doi: 10.1143/JJAP.30.L1522.

  • [183]  M. E. Coltrin, P. Ho, H. K. Moffat, and R. J. Buss, “Chemical kinetics in chemical vapor deposition: Growth of silicon dioxide from tetraethoxysilane (TEOS),” Thin Solid Films, vol. 365, no. 2, pp. 251–263, 2000. doi: 10.1016/S0040-6090(99)01059-7.

  • [184]  A. Bagatur’yants, K. Novoselov, A. Safonov, L. Savchenko, J. Cole, and A. Korkin, “Atomistic modeling of chemical vapor deposition: Silicon nitride CVD from dichlorosilane and ammonia,” Materials Science in Semiconductor Processing, vol. 3, no. 1-2, pp. 23–29, 2000. doi: 10.1016/S1369-8001(00)00006-8.

  • [185]  Y. Wang and R. Pollard, “An approach for modeling surface reaction kinetics in chemical vapor deposition processes,” Journal of The Electrochemical Society, vol. 142, no. 5, pp. 1712–1725, 1995. doi: 10.1149/1.2048645.

  • [186]  R. J. Buss, P. Ho, W. G. Breiland, and M. E. Coltrin, “Reactive sticking coefficients for silane and disilane on polycrystalline silicon,” Journal of Applied Physics, vol. 63, no. 8, pp. 2808–2819, 1988. doi: 10.1063/1.340982.

  • [187]  E. Bar and J. Lorenz, “3-D simulation of LPCVD using segment-based topography discretization,” IEEE Transactions on Semiconductor Manufacturing, vol. 9, no. 1, pp. 67–73, 1996. doi: 10.1109/66.484284.

  • [188]  M. M. IslamRaja, “Two precursor model for low-pressure chemical vapor deposition of silicon dioxide from tetraethylorthosilicate,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 11, no. 3, pp. 720 – 726, 1993. doi: 10.1116/1.586778.

  • [189]  K. F. Roenigk and K. F. Jensen, “Low pressure CVD of silicon nitride,” Journal of The Electrochemical Society, vol. 134, no. 7, pp. 1777–1785, 1987. doi: 10.1149/1.2100756.

  • [190]  R. Arora and R. Pollard, “A mathematical model for chemical vapor deposition processes influenced by surface reaction kinetics: Application to low-pressure deposition of tungsten,” Journal of The Electrochemical Society, vol. 138, no. 5, pp. 1523–1537, 1991. doi: 10.1149/1.2085820.

  • [191]  P. Manstetten, L. Filipovic, A. Hössinger, J. Weinbub, and S. Selberherr, “Framework to model neutral particle flux in convex high aspect ratio structures using one-dimensional radiosity,” Solid-State Electronics, vol. 128, pp. 141–147, 2017. doi: 10.1016/j.sse.2016.10.029.

  • [192]  M. F. Modest, Radiative Heat Transfer. Elsevier, 2013. doi: 10.1016/C2010-0-65874-3.

  • [193]  L. Filipovic and X. Klemenschits, “Fast model for deposition in trenches using geometric advection,” in Proc. Simulation of Semiconductor Processes and Devices (SISPAD), in press.

  • [194]  D. Morgan and K. Board, An Introduction to Semiconductor Microtechnology. Wiley, 1990.

  • [195]  B. Voigtländer, M. Kästner, and P. Šmilauer, “Magic islands in Si/Si(111) homoepitaxy,” Physical Review Letters, vol. 81, pp. 858–861, 1998. doi: 10.1103/PhysRevLett.81.858.

  • [196]  U. W. Pohl, Epitaxy of Semiconductors: Physics and Fabrication of Heterostructures. Springer International Publishing, 2020. doi: 10.1007/978-3-030-43869-2.

  • [197]  A. Krost, A. Dadgar, G. Strassburger, and R. Clos, “GaN-based epitaxy on silicon: Stress measurements,” physica status solidi (a), vol. 200, no. 1, pp. 26–35, 2003. doi: 10.1002/pssa.200303428.

  • [198]  M. L. Hammond, “Silicon epitaxy by chemical vapor deposition,” in Handbook of Thin Film Deposition Processes and Techniques. Elsevier, 2001, pp. 45–110. doi: 10.1016/B978-081551442-8.50007-9.

  • [199]  D. Dutartre, A. Talbot, and N. Loubet, “Facet propagation in si and sige epitaxy or etching,” ECS Transactions, vol. 3, no. 7, pp. 473–487, 2019. doi: 10.1149/1.2355845.

  • [200]  T. J. Hubbard, “MEMS design: The geometry of silicon micromachining,” Ph.D. dissertation, California Institute of Technology, 1994, [Accessed: 2021, July]. [Online]. Available: https://resolver.caltech.edu/CaltechETD:etd-09162005-134646

  • [201]  B. Radjenović, M. Radmilović-Radjenović, and M. Mitrić, “Level set approach to anisotropic wet etching of silicon,” Sensors, vol. 10, no. 5, pp. 4950–4967, 2010. doi: 10.3390/s100504950.

  • [202]  S. Barraud et al., “Vertically stacked-nanowires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2016, pp. 17.6.1–17.6.4. doi: 10.1109/IEDM.2016.7838441.

  • [203]  W. K. Burton, N. Cabrera, and F. C. Frank, “The growth of crystals and the equilibrium structure of their surfaces,” Philosophical Transactions of the Royal Society of London. Series A, Mathematical and Physical Sciences, vol. 243, no. 866, pp. 299–358, 1951. doi: 10.1098/rsta.1951.0006.

  • [204]  C. Ratsch et al., “Level-set method for island dynamics in epitaxial growth,” Physical Review B, vol. 65, no. 19, pp. 195 403–1 – 195 403–13, 2002. doi: 10.1103/PhysRevB.65.195403.

  • [205]  P. Kongetira, “Expression for the growth rate of selective epitaxial growth of silicon using dichlorosilane, hydrogen chloride, and hydrogen in a low pressure chemical vapor deposition pancake reactor,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 15, no. 6, pp. 1902 – 1907, 1997. doi: 10.1116/1.589576.

  • [206]  D. House and D. Li, “Anisotropic etching,” in Encyclopedia of Microfluidics and Nanofluidics. Springer US, 2008, pp. 47–49. doi: 10.1007/978-0-387-48998-8_35.

  • [207]  P. Pal, V. Swarnalatha, A. V. N. Rao, A. K. Pandey, H. Tanaka, and K. Sato, “High speed silicon wet anisotropic etching for applications in bulk micromachining: A review,” Micro and Nano Systems Letters, vol. 9, no. 1, pp. 4–1 – 4–59, 2021. doi: 10.1186/s40486-021-00129-0.

  • [208]  O. R. Bengoetxea, “Development and characterization of plasma etching processes for the dimensional control and LWR issues during high-k metal gate stack patterning for 14FDSOI technologies,” Ph.D. dissertation, Université Grenoble Alpes, 2016, [Accessed: 2021, July]. [Online]. Available: http://www.theses.fr/2016GREAT009.pdf

  • [209]  O. Ros, E. Pargon, M. Fouchier, P. Gouraud, and S. Barnola, “Gate patterning strategies to reduce the gate shifting phenomenon for 14 nm fully depleted silicon-on-insulator technology,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 35, no. 2, pp. 021 306–1 – 021 306–12, 2017. doi: 10.1116/1.4972228.

  • [210]  V. M. Donnelly and A. Kornblit, “Plasma etching: Yesterday, today, and tomorrow,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 31, no. 5, pp. 050 825–1 – 050 825–48, 2013. doi: 10.1116/1.4819316.

  • [211]  B. Wu, A. Kumar, and S. Pamarthy, “High aspect ratio silicon etch: A review,” Journal of Applied Physics, vol. 108, no. 5, pp. 051 101–1 – 051 101–20, 2010. doi: 10.1063/1.3474652.

  • [212]  M. M. Frank, “High-k/metal gate innovations enabling continued CMOS scaling,” in Proc. European Solid-State Device Research Conference (ESSDERC). IEEE, 2011, pp. 25–33. doi: 10.1109/ESSDERC.2011.6044239.

  • [213]  H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou, “Surprising importance of photo-assisted etching of silicon in chlorine-containing plasmas,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 30, no. 2, pp. 021 306–1 – 021 306–10, 2012. doi: 10.1116/1.3681285.

  • [214]  C. Petit-Etienne et al., “Etching mechanisms of thin SiO2 exposed to Cl2 plasma,” Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol. 29, no. 5, pp. 051 202–1 – 051 202–8, 2011. doi: 10.1116/1.3622311.

  • [215]  L. Luo et al., “An effective process to remove etch damage prior to selective epitaxial growth in 3D NAND flash memory,” Semiconductor Science and Technology, vol. 34, no. 9, pp. 095 004–1 – 095 004–5, 2019. doi: 10.1088/1361-6641/ab3130.

  • [216]  H. Shin et al., “Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 31, no. 3, pp. 031 305–1 – 031 305–6, 2013. doi: 10.1116/1.4801883.

  • [217]  M. Hélot et al., “Plasma etching of HfO2 at elevated temperatures in chlorine-based chemistry,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 1, pp. 30–40, 2006. doi: 10.1116/1.2134707.

  • [218]  J. A. Levinson, E. S. G. Shaqfeh, M. Balooch, and A. V. Hamza, “Ion-assisted etching and profile development of silicon in molecular and atomic chlorine,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 18, no. 1, pp. 172–190, 2000. doi: 10.1116/1.591170.

  • [219]  J. A. Levinson, E. S. G. Shaqfeh, M. Balooch, and A. V. Hamza, “Ion-assisted etching and profile development of silicon in molecular chlorine,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 15, no. 4, pp. 1902–1912, 1997. doi: 10.1116/1.580658.

  • [220]  M. Balooch, M. Moalem, W. Wang, and A. V. Hamza, “Low-energy Ar ion-induced and chlorine ion etching of silicon,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 14, no. 1, pp. 229–233, 1996. doi: 10.1116/1.579924.

  • [221]  N. M. Muthukrishnan, K. Amberiadis, and A. Elshabini-Riad, “Characterization of titanium etching in Cl2/N2 plasmas,” Journal of The Electrochemical Society, vol. 144, no. 5, pp. 1780–1784, 1997. doi: 10.1149/1.1837679.

  • [222]  H. K. Chiu et al., “Characterization of titanium nitride etch rate and selectivity to silicon dioxide in a Cl2 helicon-wave plasma,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 19, no. 2, pp. 455–459, 2001. doi: 10.1116/1.1342866.

  • [223]  J. Tonotani, T. Iwamoto, F. Sato, K. Hattori, S. Ohmi, and H. Iwai, “Dry etching characteristics of TiN film using Ar/CHF3, Ar/Cl2, and Ar/BCl3 gas chemistries in an inductively coupled plasma,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 21, no. 5, pp. 2163 – 2168, 2003. doi: 10.1116/1.1612517.

  • [224]  E. Sungauer et al., “Etching mechanisms of HfO2, SiO2, and poly-Si substrates in BCl3 plasmas,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 25, no. 5, pp. 1640 – 1646, 2007. doi: 10.1116/1.2781550.

  • [225]  W. S. Hwang, J. Chen, W. J. Yoo, and V. Bliznetsov, “Investigation of etching properties of metal nitride/high-k gate stacks using inductively coupled plasma,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 23, no. 4, pp. 964–970, 2005. doi: 10.1116/1.1927536.

  • [226]  R. A. H. Heinecke, “Control of relative etch rates of SiO2 and Si in plasma etching,” Solid State Electronics, vol. 18, no. 1, pp. 1146–1147, 1975. doi: 10.1016/0038-1101(77)90147-2.

  • [227]  J. W. Coburn and E. Kay, “Some chemical aspects of the fluorocarbon plasma etching of silicon and its compounds,” IBM Journal of Research and Development, vol. 23, no. 1, pp. 33–41, 1979. doi: 10.1147/rd.231.0033.

  • [228]  L. M. Ephrath, “Selective etching of silicon dioxide using reactive ion etching with CF4-H2,” Journal of The Electrochemical Society, vol. 126, no. 8, pp. 1419 – 1421, 1979. doi: 10.1149/1.2129291.

  • [229]  J. L. Mauer, J. S. Logan, L. B. Zielinski, and G. C. Schwartz, “Mechanism of silicon etching by a CF4 plasma,” Journal of Vacuum Science and Technology, vol. 15, no. 5, pp. 1734–1738, 1978. doi: 10.1116/1.569836.

  • [230]  Y.-Y. Tu, T. J. Chuang, and H. F. Winters, “Chemical sputtering of fluorinated silicon,” Physical Review B, vol. 23, no. 2, pp. 823–835, 1981. doi: 10.1103/PhysRevB.23.823.

  • [231]  E. Gogolides, P. Vauvert, G. Kokkoris, G. Turban, and A. G. Boudouvis, “Etching of SiO2 and Si in fluorocarbon plasmas: A detailed surface model accounting for etching and deposition,” Journal of Applied Physics, vol. 88, no. 10, pp. 5570–5584, 2000. doi: 10.1063/1.1311808.

  • [232]  E. R. Parker, B. J. Thibeault, M. F. Aimi, M. P. Rao, and N. C. MacDonald, “Inductively coupled plasma etching of bulk titanium for MEMS applications,” Journal of The Electrochemical Society, vol. 152, no. 10, pp. C675 – C683, 2005. doi: 10.1149/1.2006647.

  • [233]  K. Blumenstock, “Anisotropic reactive ion etching of titanium,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 7, no. 4, pp. 627 – 632, 1989. doi: 10.1116/1.584806.

  • [234]  S. Norasetthekul et al., “Etch characteristics of HfO2 films on si substrates,” Applied Surface Science, vol. 187, pp. 75–81, 2002. doi: 10.1016/S0169-4332(01)00792-9.

  • [235]  K. S. Min et al., “Selective etching of HfO2 by using inductively-coupled Ar/C4F8 plasmas and the removal of etch residue on si by using an O2 plasma treatment,” Journal of the Korean Physical Society, vol. 53, no. 3, pp. 1675–1679, 2008. doi: 10.3938/jkps.53.1675.

  • [236]  K. Takahashi and K. Ono, “Selective etching of high-k HfO2 films over Si in hydrogen-added fluorocarbon (CF4/Ar/H2 and C4F8/Ar/H2) plasmas,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 3, pp. 437–443, 2006. doi: 10.1116/1.2187997.

  • [237]  J. Chen, W. J. Yoo, Z. Y. Tan, Y. Wang, and D. S. Chan, “Investigation of etching properties of HfO based high-k dielectrics using inductively coupled plasma,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 22, no. 4, pp. 1552–1558, 2004. doi: 10.1116/1.1705590.

  • [238]  B. E. Thompson and H. H. Sawin, “Polysilicon etching in SF6 RF discharges: Characteristics and diagnostic measurements,” Journal of The Electrochemical Society, vol. 133, no. 9, pp. 1887–1895, 1986. doi: 10.1149/1.2109042.

  • [239]  P. Panduranga, A. Abdou, Z. Ren, R. H. Pedersen, and M. P. Nezhad, “Isotropic silicon etch characteristics in a purely inductively coupled SF6 plasma,” Journal of Vacuum Science & Technology B, vol. 37, no. 6, pp. 061 206–1 – 061 206–7, 2019. doi: 10.1116/1.5116021.

  • [240]  C. P. D’Emic, “Deep trench plasma etching of single crystal silicon using SF6/O2 gas mixtures,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 10, no. 3, pp. 1105 – 1110, 1992. doi: 10.1116/1.586085.

  • [241]  R. D’Agostino and D. L. Flamm, “Plasma etching of Si and SiO2 in SF6–O2 mixtures,” Journal of Applied Physics, vol. 52, no. 1, pp. 162–167, 1981. doi: 10.1063/1.328468.

  • [242]  H. M. Anderson, J. A. Merson, and R. W. Light, “A kinetic model for plasma etching silicon in a SF6/o2 RF discharge,” IEEE Transactions on Plasma Science, vol. 14, no. 2, pp. 156–164, 1986. doi: 10.1109/TPS.1986.4316518.

  • [243]  R. J. Belen, S. Gomez, D. Cooperberg, M. Kiehlbauch, and E. S. Aydil, “Feature-scale model of Si etching in SF6/O2 plasma and comparison with experiments,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 23, no. 5, pp. 1430–1439, 2005. doi: 10.1116/1.2013317.

  • [244]  R. J. Belen and S. Gomez, “Feature scale model of Si etching in SF6/O2/HBr plasma and comparison with experiments,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 2, pp. 350–361, 2006. doi: 10.1116/1.2173268.

  • [245]  D. Shamiryan, A. Redolfi, and W. Boullart, “Dry etching process for bulk FinFET manufacturing,” Microelectronic Engineering, vol. 86, no. 1, pp. 96–98, 2009. doi: 10.1016/j.mee.2008.10.001.

  • [246]  O. Luere, E. Pargon, L. Vallier, B. Pelissier, and O. Joubert, “Etch mechanisms of silicon gate structures patterned in SF6/CH2F2/Ar inductively coupled plasmas,” Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol. 29, no. 1, pp. 011 028–1 – 011 028–10, 2011. doi: 10.1116/1.3522656.

  • [247]  T. Ohchi et al., “Reducing damage to si substrates during gate etching processes,” Japanese Journal of Applied Physics, vol. 47, no. 7 PART 1, pp. 5324–5326, 2008. doi: 10.1143/JJAP.47.5324.

  • [248]  M. Vinet et al., “Bonded planar double-metal-gate NMOS transistors down to 10 nm,” IEEE Electron Device Letters, vol. 26, no. 5, pp. 317–319, 2005. doi: 10.1109/LED.2005.846580.

  • [249]  L. Desvoivres, L. Vallier, and O. Joubert, “X-ray photoelectron spectroscopy investigation of sidewall passivation films formed during gate etch processes,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 19, no. 2, pp. 420 – 426, 2001. doi: 10.1116/1.1352727.

  • [250]  M. Tuda, K. Shintani, and H. Ootera, “Profile evolution during polysilicon gate etching with low-pressure high-density Cl2/HBr/O2 plasma chemistries,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 19, no. 3, pp. 711–717, 2001. doi: 10.1116/1.1365135.

  • [251]  M. Lemme et al., “Nanoscale TiN metal gate technology for CMOS integration,” Microelectronic Engineering, vol. 83, no. 4-9, pp. 1551–1554, 2006. doi: 10.1016/j.mee.2006.01.161.

  • [252]  F. Lärmer and A. Schlip, “Method of anisotropically etching silicon,” U.S. Patent 5 501 893, 1996, [Accessed: 2021, July]. [Online]. Available: https://patents.google.com/patent/US5501893A/en

  • [253]  U. Soysal, F. Marty, E. Géhin, C. Motzkus, and E. Algré, “Fabrication, electrical characterization and sub-ng mass resolution of sub-\(\mu \)m air-gap bulk mode MEMS mass sensors for the detection of airborne particles,” Microelectronic Engineering, vol. 221, pp. 111 190–1 – 111 190–9, 2020. doi: 10.1016/j.mee.2019.111190.

  • [254]  M. Liu et al., “A novel low-g MEMS bistable inertial switch with self-locking and reverse-unlocking functions,” Journal of Microelectromechanical Systems, vol. 29, no. 6, pp. 1493–1503, 2020. doi: 10.1109/JMEMS.2020.3032586.

  • [255]  M. Kawano, X. Y. Wang, and Q. Ren, “Trench isolation technology for cost-effective wafer-level 3D integration with one-step TSV,” in Proc. Electronic Components and Technology Conference (ECTC), vol. 2020-June. IEEE, 2020, pp. 1161–1166. doi: 10.1109/ECTC32862.2020.00186.

  • [256]  P. Kumar, I. Dutta, Z. Huang, and P. Conway, “Materials and processing of TSV,” in 3D Microelectronic Packaging, 2021, pp. 47–70. doi: 10.1007/978-981-15-7090-2_3.

  • [257]  K. Bae and J. Park, “Efficient TSV fault detection scheme for high bandwidth memory using pattern analysis,” in Proc. International SoC Design Conference (ISOCC). IEEE, 2020, pp. 19–20. doi: 10.1109/ISOCC50952.2020.9333115.

  • [258]  K. C. Chun et al., “A 16-GB 640-GB/s HBM2E DRAM with a data-bus window extension technique and a synergetic on-die ECC scheme,” IEEE Journal of Solid-State Circuits, vol. 56, no. 1, pp. 199–211, 2021. doi: 10.1109/JSSC.2020.3027360.

  • [259]  V. Pano, I. Tekin, I. Yilmaz, Y. Liu, K. R. Dandekar, and B. Taskin, “TSV antennas for multi-band wireless communication,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 10, no. 1, pp. 100–113, 2020. doi: 10.1109/JETCAS.2020.2974236.

  • [260]  H. V. Jansen, M. J. de Boer, S. Unnikrishnan, M. C. Louwerse, and M. C. Elwenspoek, “Black silicon method: X. A review on high speed and selective plasma etching of silicon with profile control: An in-depth comparison between bosch and cryostat DRIE processes as a roadmap to next generation equipment,” Journal of Micromechanics and Microengineering, vol. 19, no. 3, pp. 033 001–1 – 033 001–41, 2009. doi: 10.1088/0960-1317/19/3/033001.

  • [261]  D. Chin, S. H. Dhong, and G. J. Long, “Structural effects on a submicron trench process,” Journal of The Electrochemical Society, vol. 132, no. 7, pp. 1705–1707, 1985. doi: 10.1149/1.2114195.

  • [262]  D. Keil and E. Anderson, “Characterization of reactive ion etch lag scaling,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 19, no. 6, pp. 2082 – 2088, 2001. doi: 10.1116/1.1414116.

  • [263]  K. J. Owen, B. VanDerElzen, R. L. Peterson, and K. Najafi, “High aspect ratio deep silicon etching,” in Proc. Micro Electro Mechanical Systems (MEMS). IEEE, 2012, pp. 251–254. doi: 10.1109/MEMSYS.2012.6170138.

  • [264]  M. A. Blauw, G. Craciun, W. G. Sloof, P. J. French, and E. van der Drift, “Advanced time-multiplexed plasma etching of high aspect ratio silicon structures,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 20, no. 6, pp. 3106 – 3110, 2002. doi: 10.1116/1.1518018.

  • [265]  B. Chang, P. Leussink, F. Jensen, J. Hübner, and H. Jansen, “DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed bosch DRIE process,” Microelectronic Engineering, vol. 191, pp. 77–83, 2018. doi: 10.1016/j.mee.2018.01.034.

  • [266]  B. Chang, F. Jensen, J. Hübner, and H. Jansen, “DREM2: A facile fabrication strategy for freestanding three dimensional silicon micro- and nanostructures by a modified bosch etch process,” Journal of Micromechanics and Microengineering, vol. 28, no. 10, pp. 105 012–1 – 105 012–10, 2018. doi: 10.1088/1361-6439/aad0c4.

  • [267]  V. Thi Hoang Nguyen et al., “The CORE sequence: A nanoscale fluorocarbon-free silicon plasma etch process based on SF6/O2 cycles with excellent 3D profile control at room temperature,” ECS Journal of Solid State Science and Technology, vol. 9, no. 2, pp. 24 002–24 013, 2020. doi: 10.1149/2162-8777/ab61ed.

  • [268]  B. Chang, “Technology development of 3D silicon plasma etching processes for novel devices and applications,” Ph.D. dissertation, Technical University of Denmark, 2018, [Accessed: 2021, July]. [Online]. Available: https://backend.orbit.dtu.dk/ws/portalfiles/portal/179026583/Thesis_BingdongChang_Final.pdf

  • [269]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Geometric advection and its application in the emulation of high aspect ratio structures,” Computer Methods in Applied Mechanics and Engineering, vol. 386, pp. 114 196–1 – 114 196–22, 2021. doi: 10.1016/j.cma.2021.114196.

  • [270]  M. A. Blauw, T. Zijlstra, R. A. Bakker, and E. van der Drift, “Kinetics and crystal orientation dependence in high aspect ratio silicon dry etching,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 18, no. 6, pp. 3453 – 3461, 2000. doi: 10.1116/1.1313578.

  • [271]  W. Jacobs, A. Kersch, P. Moll, W. Sabisch, and G. S. Icking-Konert, “A feature scale model for trench capacitor etch rate and profile,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2002, pp. 891–894. doi: 10.1109/iedm.2002.1175980.

  • [272]  N. Roxhed, P. Griss, and G. Stemme, “A method for tapered deep reactive ion etching using a modified Bosch process,” Journal of Micromechanics and Microengineering, vol. 17, no. 5, pp. 1087–1092, 2007. doi: 10.1088/0960-1317/17/5/031.

  • [273]  S. L. Lai, D. Johnson, and R. Westerman, “Aspect ratio dependent etching lag reduction in deep silicon etch processes,” Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 4, pp. 1283–1288, 2006. doi: 10.1116/1.2172944.

  • [274]  T. F. Dupont and Y. Liu, “Back and forth error compensation and correction methods for removing errors induced by uneven gradients of the level set function,” Journal of Computational Physics, vol. 190, no. 1, pp. 311–324, 2003. doi: 10.1016/S0021-9991(03)00276-6.

  • [275]  S. Bertini, M. Verotti, A. Bagolini, P. Bellutti, G. Ruta, and N. Belfiore, “Scalloping and stress concentration in DRIE-manufactured comb-drives,” Actuators, vol. 7, no. 3, pp. 57–1 – 57–22, 2018. doi: 10.3390/act7030057.

  • [276]  B. Chang et al., “Large area three-dimensional photonic crystal membranes: Single-run fabrication and applications with embedded planar defects,” Advanced Optical Materials, vol. 7, no. 2, pp. 1 801 176–1 – 1 801 176–9, 2019. doi: 10.1002/adom.201801176.

  • [277]  C. Auth et al., “A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors,” in Proc. VLSI Technology (VLSIT). IEEE, 2012, pp. 131–132. doi: 10.1109/VLSIT.2012.6242496.

  • [278]  J. Robertson and R. M. Wallace, “High-k materials and metal gates for CMOS applications,” Materials Science and Engineering: R: Reports, vol. 88, pp. 1–41, 2015. doi: 10.1016/j.mser.2014.11.001.

  • [279]  K. Mistry et al., “A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2007, pp. 247–250. doi: 10.1109/IEDM.2007.4418914.

  • [280]  H.-J. Lee et al., “Intel 22 nm FinFET (22FFL) process technology for RF and mm wave applications and circuit design optimization for FinFET technology,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2018, pp. 14.1.1–14.1.4. doi: 10.1109/IEDM.2018.8614490.

  • [281]  A. Razavieh, P. Zeitzoff, and E. J. Nowak, “Challenges and limitations of CMOS scaling for FinFET and beyond architectures,” IEEE Transactions on Nanotechnology, vol. 18, pp. 999–1004, 2019. doi: 10.1109/TNANO.2019.2942456.

  • [282]  B.-R. Huang, F.-H. Meng, Y.-C. King, and C. J. Lin, “Investigation of parasitic resistance and capacitance effects in nanoscaled FinFETs and their impact on static random-access memory cells,” Japanese Journal of Applied Physics, vol. 56, no. 4S, pp. 04CD11–1 – 04CD11–6, 2017. doi: 10.7567/JJAP.56.04CD11.

  • [283]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Combined process simulation and emulation of an SRAM cell of the 5 nm technology node,” in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, in press, pp. 1 – 5.

  • [284]  H. Mertens et al., “Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates,” in Proc. VLSI Technology (VLSIT), no. 1. IEEE, 2016. doi: 10.1109/VLSIT.2016.7573416.

  • [285]  N. Loubet et al., “Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET,” in Proc. VLSI Technology (VLSIT), vol. 5, no. 1. IEEE, 2017, pp. T230–T231. doi: 10.23919/VLSIT.2017.7998183.

  • [286]  Samsung Newsroom. Reduced size, increased performance: Samsung’s GAA transistor, MBCFET. [Accessed: 2021, July]. [Online]. Available: https://news.samsung.com/global/infographic-reduced-size-increased-performance-samsungs-gaa-transistor-mbcfettm

  • [287]  G. Bae et al., “3 nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2018, pp. 28.7.1–28.7.4. doi: 10.1109/IEDM.2018.8614629.

  • [288]  H. Mertens et al., “Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates,” in Proc. International Electron Devices Meeting (IEDM). IEEE, 2016, pp. 19.7.1–19.7.4. doi: 10.1109/IEDM.2016.7838456.

  • [289]  A. Yanguas-Gil, Growth and Transport in Nanostructured Materials: Reactive Transport in PVD, CVD, and ALD. Springer, 2016. doi: 10.1007/978-3-319-24672-7.

List of Publications

Journal Articles

  • [1] X. Klemenschits, S. Selberherr, L. Filipovic, "Geometric advection and its application in the emulation of high aspect ratio structures", Computer Methods in Applied Mechanics and Engineering, vol. 386, 114196-1 – 114196-22, 2021, doi: 10.1016/j.cma.2021.114196.

  • [2] A. Toifl, M. Quell, X. Klemenschits, P. Manstetten, A. Hössinger, S. Selberherr, J. Weinbub, "The level-set method for multi-material wet etching and non-planar selective epitaxy", IEEE Access, 8, 115406 – 115422, 2020, doi: 10.1109/ACCESS.2020.3004136.

  • [3] X. Klemenschits, S. Selberherr, L. Filipovic, "Modeling of gate stack patterning for advanced technology nodes: A review" Micromachines, vol. 9, (invited), 631-10 – 631-31, 2018, doi: 10.3390/mi9120631.

Book Contributions

  • [4] T. Reiter, X. Klemenschits, L. Filipovic, “Impact of high-aspect-ratio etching damage on selective epitaxial silicon growth in 3D NAND flash memory”, in Book of Abstracts for EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS). 2021-09-01–2021-09-03, pp. 54—57, 2021 (In press).

  • [5] X. Klemenschits, S. Selberherr, L. Filipovic, "Modeling of gate stack patterning for advanced technology nodes: A review", in MDPI Miniaturized Transistors. (invited), 105 - 135, 2019, doi: 10.3390/books978-3-03921-011-4.

  • [6] X. Klemenschits, S. Selberherr, L. Filipovic, "Unified feature scale model for etching in SF6 and Cl plasma chemistries", Book of Abstracts for EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS). 177 – 180, 2018, doi: 10.1109/ULIS.2018.8354763.

Conference Contributions

  • [7] T. Reiter, X. Klemenschits, L. Filipovic, “Impact of high-aspect-ratio etching damage on selective epitaxial silicon growth in 3D NAND flash memory”, in Proc. EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS). 2021.09.01–2021.09.03, pp. 34–35, 2021 (In press).

  • [8] L. Filipovic, X. Klemenschits, “Fast model for deposition in trenches using geometric advection”, in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). 2021.09.27–2021.09.29, pp. 1–4, 2021 (In press).

  • [9] X. Klemenschits, S. Selberherr, L. Filipovic, “Combined process simulation and emulation of an SRAM cell of the 5 nm technology node”, in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). 2021.09.27–2021.09.29, pp. 1–4, 2021 (In press).

  • [10] X. Klemenschits, S. Selberherr, L. Filipovic, "Geometric advection algorithm for process emulation", in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). 2020.09.23–2020.10.06, pp.59–62, 2020, doi: 10.23919/SISPAD49475.2020.9241678.

  • [11] X. Klemenschits, P. Manstetten, L. Filipovic, S. Selberherr, "Process simulation in the browser: Porting ViennaTS using WebAssembly", in Proc. Simulation of Semiconductor Processes and Devices (SISPAD). 2019-09-04 - 2019-09-06, pp. 339–342, 2019, doi: 10.1109/SISPAD.2019.8870374.

  • [12] X. Klemenschits, S. Selberherr, L. Filipovic, "Fast Volume Evaluation on Sparse Level Sets", in Proc. International Workshop on Computational Nanotechnology (IWCN). pp. 113–114, 2019, ISBN: 978-3-9504738-0-3.

  • [13] X. Klemenschits, S. Selberherr, L. Filipovic, "Unified feature scale model for etching in SF6 and Cl plasma chemistries", in Proc. EuroSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS). 2018.03.19–2018.03.21, pp. 65–66, 2018, ISBN: 978-1-5386-4810-0.

Curriculum Vitae

08/2017 – present

Doctoral Candidate and Research Assistant
Institute for Microelectronics, TU Wien
Vienna, Austria

09/2013 – 07/2017

Master’s degree, Physics with Nanoscale Physics
Thesis titled "Manipulation of the Dielectric Function of Silicon"
University of Birmingham
Birmingham, UK

09/2012 – present

Engineering Company Commander (Militia)
Austrian Armed Forces
Vienna, Austria

Advection Bliss
I was enjoying advection with bliss,
when it occurs, some feature I miss.
What I see, oh it makes me perplex,
it seems the Hamiltonian was not convex.
How could I possibly be so obtuse!
A more robust scheme I must certainly choose.
Luckily Friedrichs and Lax came before,
so blissful advection shall be evermore.