(image) (image) [ Home ]

Phenomenological Single-Particle
Modeling of Reactive Transport
in Semiconductor Processing

Chapter 7 Conclusion and Outlook

This thesis has thoroughly addressed the issue of phenomenological models for reactive single-particle transport in topography simulation. At a first glance, these models might appear to be straightforward, thus not warranting an in-depth investigation. However, as discussed in detail throughout this work, the correct application of single-particle modeling requires very careful consideration and deep knowledge of the involved processing technique to obtain both a reproduction of experimental surfaces and useful chemical insights.

The fundamental constituents of modern topography simulation were introduced: The LS method, and reactive transport models, as well as their interface through the surface advection velocity field. The fundamental phenomenological model at the core of reactive single-particle transport, first-order reversible Langmuir kinetics, was reviewed and discussed with respect to the underlying chemical and physical assumptions. However, a full description of the Langmuir kinetics is incomplete without a discussion of the calculation method for the local reactant fluxes. Therefore, an overview of the four main approaches to calculate the local fluxes was given, namely: Constant flux, bottom-up visibility calculation, top-down pseudo-particle tracking, and 1D models.

From the category of 1D models, Knudsen diffusive transport was chosen to merit a more in-depth examination due to its comparatively simple implementation, ability to provide direct physical insights, and, importantly, abundance of historical and contemporary misconceptions. To address this issue, Knudsen diffusivity was reformulated in a new and more modern fashion using an analogy to radiative heat transfer. First, the well-established expression of Knudsen diffusivity in a long cylinder is recovered. Then, the issue at the heart of the misconceptions was addressed: How to handle geometries with lateral cross-sections different from a cylinder. Particularly, the divergence of the mathematical formulation of Knudsen diffusivity for an infinitely wide trench is discussed. In conclusion, it is shown that 3D geometries are not completely equivalent to their 2D cross-sections. Although commonly used mappings, such as the hydraulic diameter approximation, attempt to simplify the involved geometries into an EAR, the underlying 3D complexity often resists such coarse simplifications.

With a firmer understanding of Knudsen diffusive transport processes, this 1D model was then applied to thermal ALP. Since these processing techniques exploit the self-limiting nature of the surface reactions, the first-order irreversible Langmuir kinetics had to be integrated with the diffusive transport model, showing the profound relationship between reactant transport and surface reactions. Additionally, the novel integration of this reactive transport model with the LS method through the introduction of an artificial time unit was shown.

After manual calibration of parameters to reported experimental data of ALD of Al2O3, the temperature dependence of the phenomenological parameters is determined. From this analysis, the importance of the reversibility of the H2O reaction, particularly at low temperatures, is demonstrated. Additionally, an activation energy comparable with recent direct experimental studies is extracted. By reproducing multiple reactors in the TMA-limited regime, remarkable parameter stability is achieved. This is evidence that the phenomenological parameters are strongly linked to the reactor parameters, most importantly the substrate temperature. Thus, the parameters can be interpreted as a proxy of the reactor setup. Finally, a qualitative analysis of both ALD and ALE of HfO2 is performed. Due to its efficient integration into a commercial simulator, a path for future investigations of device performance is highlighted.

Attention is then placed on the process of low-bias SF6 plasma etching of Si. Even though this process is employed due to its near-isotropic etch characteristics, the final surfaces are known to be different from those obtained from ideal isotropic etching. To accurately reproduce these surfaces using topography simulation, the available flux calculation approaches were evaluated. The top-down pseudo-particle tracking approach using a constant effective sticking coefficient was shown to be sufficient to reproduce the experimental surfaces. Moreover, by reproducing multiple geometries reported in the literature using the same surface model and flux calculation approach, the phenomenological model parameters again appear to serve as a proxy of the reactor configuration.

Through a series of computational experiments, a novel empirical relationship was then constructed between an experimentally-accessible quantity of interest and the model parameters. Thus, information about the phenomenological model and, consequently, the surface chemistry and the state of the reactor, can be directly extracted from experimental topography measurements. This information can be used not only for accelerating calibration procedures but also to optimize the reactor setup by interpreting the parameters as, for example, surrogate variables of the fluorine radical density.

Finally, the capabilities of topography simulation are showcased by exploring the optimization of the fabrication process of silicon microcavity resonators. In order to move away from manual parameter calibration, a more robust automatic calibration procedure was introduced, including a custom feature detection algorithm. With that procedure, the entire phenomenological parameter set was calibrated using only the final state of the surface. Having achieved a calibrated simulation, the etch times and photoresist opening diameter of the involved two-step etching process were computationally investigated to optimize parameters indicative of device performance.
An increase in the first step etch time, ideally by doubling it, appears to positively impact the resonator quality. Simultaneously, the second etch time can be significantly reduced, leading to a reduction in overall etch time and, consequently, a reduction in cost and complexity.

In summary, it can be concluded that the final topography of a processed device possesses substantial information about the involved surface-chemical phenomena. Therefore, both direct modeling of experimental topographies and inverse modeling to gather information about the surface chemistry are possible. This strongly supports the applicability of first-order reversible Langmuir kinetics to a wide range of semiconductor processing applications. Through the judicious use of approximations, by paying careful attention to the calibration procedure, and by choosing the correct flux calculation approach, reactor-scale or first-principle simulations can either be complemented, or even completely bypassed in a few cases, using phenomenological modeling of reactive single-particle transport in topography simulation.

For future research, a natural extension of this work is the combination of the most physically rich flux calculation approach, the top-down pseudo-particle tracking, with first-order reversible Langmuir kinetics without the constant effective sticking coefficient approximation. For self-limiting reactions, a similar pulse time integration approach to that employed for thermal ALP can be employed to handle variable sticking coefficients. Nevertheless, for processes in the steady-state, a different self-consistent methodology for the calculation of the sticking coefficients will be necessary. This will require substantial computational resources, but the possible gains in accuracy and insight are very attractive. Additionally, as already hinted in the examination of aspect ratio dependent RIE, an increased number of phenomenological particle species will be necessary for certain processes.

In addition, the realization that the final surfaces carry the fingerprint of the surface chemical processes imposes additional pressure on the calibration method. The here-presented automated calibration procedure shows the tremendous promise of this approach, however, it had to be manually tailored to the application. To move beyond these custom solutions, recent advances in computer vision and artificial intelligence could be leveraged to create a truly general automatic calibration procedure and earnestly close the loop between experiment and simulation.

Bibliography

  • [1]  Y. Yasuda-Masuoka et al., “High performance 4nm FinFET platform (4LPE) with novel advanced transistor level DTCO for dual-CPP/HP-HD standard cells,” in Proceedings of the International Electron Devices Meeting (IEDM), 2021, pp. 13.3.1–13.3.4. doi: 10.1109/IEDM19574.2021.9720656.

  • [2]  Y.-D. Chih et al., “Design challenges and solutions of emerging nonvolatile memory for embedded applications,” in Proceedings of the International Electron Devices Meeting (IEDM), 2021, pp. 2.4.1–2.4.4. doi: 10.1109/IEDM19574.2021.9720557.

  • [3]  T. Tan, X. Jiang, C. Wang, B. Yao, and H. Zhang, “2D material optoelectronics for information functional device applications: Status and challenges,” Advanced Science, vol. 7, p. 2000058, 2020. doi: 10.1002/ADVS.202000058.

  • [4]  M. Kues et al., “Quantum optical microcombs,” Nature Photonics, vol. 13, pp. 170–179, 2019. doi: 10.1038/s41566-019-0363-0.

  • [5]  J. N. Haus et al., “Robust pressure sensor in SOI technology with butterfly wiring for airfoil integration,” Sensors, vol. 21, p. 6140, 2021. doi: 10.3390/S21186140.

  • [6]  D. Shepardson and J. L. Lee. (2022) Intel’s $20 bln Ohio factory could become world’s largest chip plant. [Accessed: 2022, Nov 6th]. [Online]. Available: https://www.reuters.com/technology/intel-plans-new-chip-manufacturing-site-ohio-report-2022-01-21/

  • [7]  G. S. May and C. J. Spanos, Fundamentals of Semiconductor Manufacturing and Process Control. John Wiley & Sons, 2006. ISBN 9780471784067

  • [8]  S. Selberherr, Analysis and Simulation of Semiconductor Devices. Springer Vienna, 1984. doi: 10.1007/978-3-7091-8752-4. . ISBN 978-3-7091-8754-8

  • [9]  C. K. Maiti, Introducing Technology Computer-Aided Design (TCAD). Jenny Stanford Publishing, 3 2017. doi: 10.1201/9781315364506. . ISBN 9781315364506

  • [10]  J. Piprek, Handbook of Optoelectronic Device Modeling and Simulation: Fundamentals, Materials, Nanostructures, LEDs, and Amplifiers, Vol. 1. CRC Press, 2017. ISBN 9780367875602

  • [11]  M. Luisier, A. Schenk, W. Fichtner, and G. Klimeck, “Atomistic simulation of nanowires in the \(sp^3d^5s^*\) tight-binding formalism: From boundary conditions to strain calculations,” Physical Review B, vol. 74, p. 205323, 2006. doi: 10.1103/PHYSREVB.74.205323.

  • [12]  J. Weinbub and D. K. Ferry, “Recent advances in Wigner function approaches,” Applied Physics Reviews, vol. 5, p. 041104, 2018. doi: 10.1063/1.5046663.

  • [13]  S. Fiorentini, J. Ender, S. Selberherr, R. L. de Orio, W. Goes, and V. Sverdlov, “Coupled spin and charge drift-diffusion approach applied to magnetic tunnel junctions,” Solid-State Electronics, vol. 186, p. 108103, 2021. doi: 10.1016/J.SSE.2021.108103.

  • [14]  S. J. Pearton, F. Ren, E. Patrick, M. E. Law, and A. Y. Polyakov, “Review—Ionizing radiation damage effects on GaN devices,” ECS Journal of Solid State Science and Technology, vol. 5, pp. Q35–Q60, 2016. doi: 10.1149/2.0251602JSS/XML.

  • [15]  R. Hussin et al., “Reliability aware simulation flow: From TCAD calibration to circuit level analysis,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 9 2015, pp. 152–155. doi: 10.1109/SISPAD.2015.7292281.

  • [16]  H. Ceric, R. L. D. Orio, J. Cervenka, and S. Selberherr, “A comprehensive TCAD approach for assessing electromigration reliability of modern interconnects,” IEEE Transactions on Device and Materials Reliability, vol. 9, pp. 9–19, 2009. doi: 10.1109/TDMR.2008.2000893.

  • [17]  S. Narayanan et al., “Extraction of parasitic and channel resistance components in FinFETs using TCAD tools,” Solid-State Electronics, vol. 123, pp. 44–50, 2016. doi: 10.1016/J.SSE.2016.05.018.

  • [18]  M. E. Law, “20 years of SISPAD: Adolescence of TCAD and further perspective,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2016, pp. 1–6. doi: 10.1109/SISPAD.2016.7605135.

  • [19]  M. A. Stettler et al., “Industrial TCAD: Modeling atoms to chips,” IEEE Transactions on Electron Devices, vol. 68, pp. 5350–5357, 2021. doi: 10.1109/TED.2021.3076976.

  • [20]  S. W. Jones, “Cost simulations to enable PPAC aware technology development,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 9 2021, pp. 215–218. doi: 10.1109/SISPAD54002.2021.9592598. . ISBN 978-1-6654-0685-7

  • [21]  C.-F. Chien, H.-A. Kuo, and Y.-S. Lin, “Smart semiconductor manufacturing for pricing, demand planning, capacity portfolio and cost for sustainable supply chain management,” International Journal of Logistics Research and Applications, pp. 1–24, 5 2022. doi: 10.1080/13675567.2022.2076818.

  • [22]  E. Chason et al., “Ion beams in silicon processing and characterization,” Journal of Applied Physics, vol. 81, p. 6513, 1998. doi: 10.1063/1.365193.

  • [23]  M. E. Law, H. Park, and P. Novell, “Theory of dopant diffusion assuming nondilute concentrations of dopant-defect pairs,” Applied Physics Letters, vol. 59, p. 3488, 1998. doi: 10.1063/1.105662.

  • [24]  M. E. Law and S. M. Cea, “Continuum based modeling of silicon integrated circuit processing: An object oriented approach,” Computational Materials Science, vol. 12, pp. 289–308, 1998. doi: 10.1016/S0927-0256(98)00020-2.

  • [25]  E. Ungersboeck, S. Dhar, G. Karlowatz, V. Sverdlov, H. Kosina, and S. Selberherr, “The effect of general strain on the band structure and electron mobility of silicon,” IEEE Transactions on Electron Devices, vol. 54, pp. 2183–2190, 9 2007. doi: 10.1109/TED.2007.902880.

  • [26]  H. Kwon et al., “TCAD augmented generative adversarial network for hot-spot detection and mask-layout optimization in a large area HARC etching process,” Physics of Plasmas, vol. 29, p. 073504, 7 2022. doi: 10.1063/5.0093076.

  • [27]  K. K. Bhuwalka et al., “Optimization and benchmarking FinFETs and GAA nanosheet architectures at 3-nm technology node: Impact of unique boosters,” IEEE Transactions on Electron Devices, vol. 69, pp. 4088–4094, 2022. doi: 10.1109/TED.2022.3178665.

  • [28]  V. Ruiz-Díez et al., “Modelling and characterization of the roof tile-shaped modes of AlN-based cantilever resonators in liquid media,” Journal of Micromechanics and Microengineering, vol. 26, p. 084008, 2016. doi: 10.1088/0960-1317/26/8/084008.

  • [29]  T. Weingartner, N. Pokhrel, M. Sulangi, L. Bjorndal, E. Patrick, and M. E. Law, “Modeling process and device behavior of Josephson junctions in superconductor electronics with TCAD,” IEEE Transactions on Electron Devices, vol. 68, pp. 5448–5454, 2021. doi: 10.1109/TED.2021.3085540.

  • [30]  A. Yanguas-Gil, Growth and Transport in Nanostructured Materials: Reactive Transport in PVD, CVD, and ALD. Springer, 2016. doi: 10.1007/978-3-319-24672-7.

  • [31]  M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing. John Wiley & Sons, 2005. ISBN 0471720011

  • [32]  S. M. Rossnagel, “Thin film deposition with physical vapor deposition and related technologies,” Journal of Vacuum Science & Technology A, vol. 21, p. S74, 2003. doi: 10.1116/1.1600450.

  • [33]  N. Cheimarios, G. Kokkoris, and A. G. Boudouvis, “Multiscale modeling in chemical vapor deposition processes: Coupling reactor scale with feature scale computations,” Chemical Engineering Science, vol. 65, pp. 5018–5028, 2010. doi: 10.1016/J.CES.2010.06.004.

  • [34]  S. Hou, M. Shakir, P.-E. Hellstrom, C.-M. Zetterling, and M. Ostling, “Process control and optimization of 4H-SiC semiconductor devices and circuits,” in Proceedings of the Electron Devices Technology and Manufacturing Conference (EDTM). IEEE, 3 2019, pp. 252–254. doi: 10.1109/EDTM.2019.8731140.

  • [35]  V. Suvorov, A. Hössinger, Z. Djurić, and N. Ljepojevic, “A novel approach to three-dimensional semiconductor process simulation: Application to thermal oxidation,” Journal of Computational Electronics, vol. 5, pp. 291–295, 2006. doi: 10.1007/S10825-006-0003-Z.

  • [36]  H. H. Gatzen, V. Saile, and J. Leuthold, Lithography. Springer Berlin Heidelberg, 2015, pp. 313–395.

  • [37]  A. Erdmann, Optical and EUV Lithography: A Modeling Perspective. SPIE, 2021. doi: 10.1117/3.2576902. . ISBN 9781510639027

  • [38]  M. J. Kushner, “Hybrid modelling of low temperature plasmas for fundamental investigations and equipment design,” Journal of Physics D: Applied Physics, vol. 42, p. 194013, 2009. doi: 10.1088/0022-3727/42/19/194013.

  • [39]  M. Karner et al., “Vertically stacked nanowire MOSFETs for sub-10nm nodes: Advanced topography, device, variability, and reliability simulations,” in Proceedings of the International Electron Devices Meeting (IEDM). IEEE, 2017, pp. 30.7.1–30.7.4. doi: 10.1109/IEDM.2016.7838516.

  • [40]  S. Alasatri, M. Schneider, J. Mirwald, B. Hofko, and U. Schmid, “Accuracy and precision of resonant piezoelectric MEMS viscosity sensors in highly viscous bituminous materials,” Sensors and Actuators A: Physical, vol. 347, p. 113903, 2022. doi: 10.1016/J.SNA.2022.113903.

  • [41]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Modeling of gate stack patterning for advanced technology nodes: A review,” Micromachines, vol. 9, p. 631, 2018. doi: 10.3390/mi9120631.

  • [42]  J. A. Sethian and D. Adalsteinsson, “An overview of level set methods for etching, deposition, and lithography development,” IEEE Transactions on Semiconductor Manufacturing, vol. 10, pp. 167–184, 1997. doi: 10.1109/66.554505.

  • [43]  A. La Magna and G. Garozzo, “Factors affecting profile evolution in plasma etching of SiO2: Modeling and experimental verification,” Journal of The Electrochemical Society, vol. 150, pp. F178 – F185, 2003. doi: 10.1149/1.1602084.

  • [44]  S. Huang et al., “Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation,” Journal of Vacuum Science & Technology A, vol. 37, p. 031304, 2019. doi: 10.1116/1.5090606.

  • [45]  V. M. Donnelly, “Reactions of fluorine atoms with silicon, revisited, again,” Journal of Vacuum Science & Technology A, vol. 35, p. 05C202, 2017. doi: 10.1116/1.4983922.

  • [46]  C. J. Mogab, “The loading effect in plasma etching,” Journal of The Electrochemical Society, vol. 124, pp. 1262–1268, 1977. doi: 10.1149/1.2133542/XML.

  • [47]  H. G. Gauch Jr, Parsimony and Efficiency. Cambridge University Press, 2002, p. 269–326.

  • [48]  X. Klemenschits, S. Selberherr, and L. Filipovic, “Geometric advection and its application in the emulation of high aspect ratio structures,” Computer Methods in Applied Mechanics and Engineering, vol. 386, pp. 114 196–1 – 114 196–22, 2021. doi: 10.1016/j.cma.2021.114196.

  • [49]  K. P. Larsen, J. T. Ravnkilde, and O. Hansen, “Investigations of the isotropic etch of an ICP source for silicon microlens mold fabrication,” Journal of Micromechanics and Microengineering, vol. 15, pp. 873–882, 2005. doi: 10.1088/0960-1317/15/4/028.

  • [50]  H. Komiyama, Y. Shimogaki, and Y. Egashira, “Chemical reaction engineering in the design of CVD reactors,” Chemical Engineering Science, vol. 54, pp. 1941–1957, 7 1999. doi: 10.1016/S0009-2509(98)00443-6.

  • [51]  N. Pokhrel, T. A. Weingartner, M. A. Sulangi, E. E. Patrick, and M. E. Law, “Modeling the effect of fabrication process on grain boundary formation in Nb/Al-Alox/Nb Josephson junction circuit,” IEEE Transactions on Applied Superconductivity, vol. 31, 8 2021. doi: 10.1109/TASC.2021.3066533.

  • [52]  C. M. Huard, Y. Zhang, S. Sriraman, A. Paterson, and M. J. Kushner, “Role of neutral transport in aspect ratio dependent plasma etching of three-dimensional features,” Journal of Vacuum Science & Technology A, vol. 35, no. 5, pp. 05C301–1 – 05C301–18, 2017. doi: 10.1116/1.4973953.

  • [53]  X. Klemenschits, “Emulation and Simulation of Microelectronic Fabrication Processes,” Doctoral dissertation, TU Wien, 2022, [Accessed: 2022, July]. [Online]. Available: https://www.iue.tuwien.ac.at/phd/klemenschits/index.html

  • [54]  Synopsys. Sentaurus Topography. [Online]. Available: https://www.synopsys.com/silicon/tcad/process-simulation/sentaurus-topography.html

  • [55]  Silvaco. Victory Process 2D and 3D Layout-Driven Simulator. [Online]. Available: https://silvaco.com/tcad/victory-process-3d/

  • [56]  S. Morarka, N. G. Rudawski, M. E. Law, K. S. Jones, and R. G. Elliman, “Modeling two-dimensional solid-phase epitaxial regrowth using level set methods,” Journal of Applied Physics, vol. 105, p. 053701, 2009. doi: 10.1063/1.3082086.

  • [57]  ViennaTS. [Online]. Available: https://github.com/viennats/viennats-dev

  • [58]  S. Osher and J. A. Sethian, “Fronts propagating with curvature-dependent speed: Algorithms based on Hamilton-Jacobi formulations,” Journal of Computational Physics, vol. 79, no. 1, pp. 12–49, 1988. doi: 10.1016/0021-9991(88)90002-2.

  • [59]  J. Sethian, Level Set Methods and Fast Marching Methods: Evolving Interfaces in Computational Geometry, Fluid Mechanics, Computer Vision, and Materials Science. Cambridge University Press, 1999.

  • [60]  D. Adalsteinsson and J. A. Sethian, “A level set approach to a unified model for etching, deposition, and lithography I: Algorithms and two-dimensional simulations,” Journal of Computational Physics, vol. 120, pp. 128–144, 1995. doi: 10.1006/JCPH.1995.1153.

  • [61]  D. Adalsteinsson and J. A. Sethian, “A level set approach to a unified model for etching, deposition, and lithography II: Three-dimensional simulations,” Journal of Computational Physics, vol. 122, pp. 348–366, 12 1995. doi: 10.1006/JCPH.1995.1221.

  • [62]  S. Osher and R. P. Fedkiw, “Level set methods: An overview and some recent results,” Journal of Computational Physics, vol. 169, pp. 463–502, 2001. doi: 10.1006/jcph.2000.6636.

  • [63]  B. Engquist and S. Osher, “One-sided difference approximations for nonlinear conservation laws,” Mathematics of Computation, vol. 36, pp. 321–351, 1981. doi: 10.1090/s0025-5718-1981-0606500-x.

  • [64]  J. A. Sethian, “A fast marching level set method for monotonically advancing fronts,” Proceedings of the National Academy of Sciences, vol. 93, no. 4, pp. 1591–1595, 1996. doi: 10.1073/pnas.93.4.1591.

  • [65]  D. Adalsteinsson and J. A. Sethian, “A fast level set method for propagating interfaces,” Journal of Computational Physics, vol. 118, no. 2, pp. 269–277, 1995. doi: 10.1006/jcph.1995.1098.

  • [66]  J. Weinbub and A. Hössinger, “Comparison of the parallel fast marching method, the fast iterative method, and the parallel semi-ordered fast iterative method,” Procedia Computer Science, vol. 80, pp. 2271–2275, 2016. doi: 10.1016/J.PROCS.2016.05.408.

  • [67]  M. Quell, G. Diamantopoulos, A. Hössinger, and J. Weinbub, “Shared-memory block-based fast marching method for hierarchical meshes,” Journal of Computational and Applied Mathematics, vol. 392, p. 113488, 8 2021. doi: 10.1016/J.CAM.2021.113488.

  • [68]  I. Langmuir, “The adsorption of gases on plane surfaces of glass, mica and platinum,” Journal of the American Chemical Society, vol. 40, pp. 1361–1403, 1918. doi: 10.1021/JA02242A004.

  • [69]  A. W. Adamson and A. P. Gast, Physical Chemistry of Surfaces, 6th ed. Wiley-Interscience, 1997. ISBN 978-0471148739

  • [70]  Y. S. Ho and G. McKay, “Pseudo-second order model for sorption processes,” Process Biochemistry, vol. 34, pp. 451–465, 1999. doi: 10.1016/S0032-9592(98)00112-5.

  • [71]  J. C. Bullen, S. Saleesongsom, K. Gallagher, and D. J. Weiss, “A revised pseudo-second-order kinetic model for adsorption, sensitive to changes in adsorbate and adsorbent concentrations,” Langmuir, vol. 37, pp. 3189–3201, 2021. doi: 10.1021/ACS.LANGMUIR.1C00142.

  • [72]  M. Knudsen, “Eine Revision der Gleichgewichtsbedingung der Gase. Thermische Molekularströmung,” Annalen der Physik, vol. 336, pp. 205–229, 1909. doi: 10.1002/ANDP.19093360110.

  • [73]  M. Knudsen, “Das Cosinusgesetz in der kinetischen Gastheorie,” Annalen der Physik, vol. 353, pp. 1113–1121, 1916. doi: 10.1002/ANDP.19163532409.

  • [74]  I. Langmuir, “The evaporation, condensation and reflection of molecules and the mechanism of adsorption,” Physical Review, vol. 8, p. 149, 1916. doi: 10.1103/PhysRev.8.149.

  • [75]  R. J. Hoekstra, M. J. Kushner, V. Sukharev, and P. Schoenborn, “Microtrenching resulting from specular reflection during chlorine etching of silicon,” Journal of Vacuum Science & Technology B, vol. 16, p. 2102, 1998. doi: 10.1116/1.590135.

  • [76]  D. C. Gray, “Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching,” Journal of Vacuum Science & Technology B, vol. 11, no. 4, pp. 1243 – 1257, 1993. doi: 10.1116/1.586925.

  • [77]  M. Tuda, K. Nishikawa, and K. Ono, “Numerical study of the etch anisotropy in low-pressure, high-density plasma etching,” Journal of Applied Physics, vol. 81, no. 2, pp. 960–967, 1997. doi: 10.1063/1.364189.

  • [78]  E. Gogolides, P. Vauvert, G. Kokkoris, G. Turban, and A. G. Boudouvis, “Etching of SiO2 and Si in fluorocarbon plasmas: A detailed surface model accounting for etching and deposition,” Journal of Applied Physics, vol. 88, p. 5570, 2000. doi: 10.1063/1.1311808.

  • [79]  R. Courant, K. Friedrichs, and H. Lewy, “Über die partiellen Differenzengleichungen der mathematischen Physik,” Mathematische Annalen, vol. 100, no. 1, pp. 32–74, 1928. doi: 10.1007/BF01448839.

  • [80]  S. G. Kandlikar, S. Garimella, D. Li, S. Colin, and M. R. King, Heat Transfer and Fluid Flow in Minichannels and Microchannels. Elsevier, 2006. doi: 10.1016/B978-008044527-4/50004-9.

  • [81]  C. Qu, Y. Sakiyama, P. Agarwal, and M. J. Kushner, “Plasma-enhanced atomic layer deposition of SiO2 film using capacitively coupled Ar/O2 plasmas: A computational investigation,” Journal of Vacuum Science & Technology A, vol. 39, p. 052403, 2021. doi: 10.1116/6.0001121.

  • [82]  O. Ertl and S. Selberherr, “A fast void detection algorithm for three-dimensional deposition simulation,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2009, pp. 174–177. doi: 10.1109/SISPAD.2009.5290221.

  • [83]  O. Ertl and S. Selberherr, “A fast level set framework for large three-dimensional topography simulations,” Computer Physics Communications, vol. 180, pp. 1242–1250, 2009. doi: 10.1016/J.CPC.2009.02.002.

  • [84]  M. Köhler, “Isotropic Etching,” in Encyclopedia of Microfluidics and Nanofluidics. Springer, 2008, pp. 877–884. doi: 10.1007/978-0-387-48998-8_751.

  • [85]  K. E. Bean, “Anisotropic etching of silicon,” IEEE Transactions on Electron Devices, vol. 25, pp. 1185–1193, 1978. doi: 10.1109/T-ED.1978.19250.

  • [86]  I. Zubel, “Anisotropic etching of Si,” Journal of Micromechanics and Microengineering, vol. 29, p. 093002, 2019. doi: 10.1088/1361-6439/AB2B8D.

  • [87]  A. Toifl, F. Rodrigues, L. F. Aguinsky, A. Hössinger, and J. Weinbub, “Continuum level-set model for anisotropic wet etching of patterned sapphire substrates,” Semiconductor Science and Technology, vol. 36, p. 045016, 2021. doi: 10.1088/1361-6641/ABE49B.

  • [88]  L. F. Aguinsky et al., “Phenomenological modeling of low-bias sulfur hexafluoride plasma etching of silicon,” Solid-State Electronics, vol. 191, pp. 108 262–1–108 262–8, 2022. doi: 10.1016/j.sse.2022.108262.

  • [89]  O. Ertl and S. Selberherr, “Three-dimensional level set based bosch process simulations using ray tracing for flux calculation,” Microelectronic Engineering, vol. 87, pp. 20–29, 2010. doi: 10.1016/J.MEE.2009.05.011.

  • [90]  D. Adalsteinsson and J. A. Sethian, “A level set approach to a unified model for etching, deposition, and lithography III: Redeposition, reemission, surface diffusion and complex simulations,” Journal of Computational Physics, vol. 138, pp. 193–223, 1997. doi: 10.1006/JCPH.1997.5817.

  • [91]  P. Manstetten, J. Weinbub, A. Hössinger, and S. Selberherr, “Using temporary explicit meshes for direct flux calculation on implicit surfaces,” Procedia Computer Science, vol. 108, pp. 245–254, 1 2017. doi: 10.1016/J.PROCS.2017.05.067.

  • [92]  P. Manstetten, A. Hössinger, J. Weinbub, and S. Selberherr, “Accelerated direct flux calculations using an adaptively refined icosahedron,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2017, pp. 73–76. doi: 10.23919/SISPAD.2017.8085267.

  • [93]  L. Gnam, P. Manstetten, A. Hössinger, S. Selberherr, and J. Weinbub, “Accelerating flux calculations using sparse sampling,” Micromachines, vol. 9, p. 550, 2018. doi: 10.3390/MI9110550.

  • [94]  T. S. Cale, G. B. Raupp, and T. H. Gandy, “Free molecular transport and deposition in long rectangular trenches,” Journal of Applied Physics, vol. 68, pp. 3645–3652, 1990. doi: 10.1063/1.346328.

  • [95]  C. Heitzinger, A. Sheikholeslami, F. Badrieh, H. Puchner, and S. Selberherr, “Feature-scale process simulation and accurate capacitance extraction for the backend of a 100-nm aluminium/TEOS process,” IEEE Transactions on Electron Devices, vol. 51, pp. 1129–1134, 2004. doi: 10.1109/TED.2004.829868.

  • [96]  G. Kokkoris, A. Tserepi, A. G. Boudouvis, and E. Gogolides, “Simulation of SiO2 and Si feature etching for microelectronics and microelectromechanical systems fabrication: A combined simulator coupling modules of surface etching, local flux calculation, and profile evolution,” Journal of Vacuum Science & Technology A, vol. 22, p. 1896, 2004. doi: 10.1116/1.1738660.

  • [97]  M. Hauguth, T. Danz, B. E. Volland, V. Ishshuk, D. Dreßler, and I. W. Rangelow, “New method for the precise flux calculation of neutrals for arbitrary surfaces in profile etch simulations,” Microelectronic Engineering, vol. 85, pp. 982–984, 2008. doi: 10.1016/J.MEE.2008.01.019.

  • [98]  M. F. Modest, Radiative Heat Transfer. Elsevier, 2013. doi: 10.1016/C2010-0-65874-3.

  • [99]  T. Reiter, X. Klemenschits, and L. Filipovic, “Impact of plasma induced damage on the fabrication of 3D NAND flash memory,” Solid-State Electronics, vol. 192, p. 108261, 2022. doi: 10.1016/J.SSE.2022.108261.

  • [100]  M. Hauguth et al., “Integrated plasma processing simulation framework, linking tool scale plasma models with 2D feature scale etch simulator,” Microelectronic Engineering, vol. 86, pp. 976–978, 2009. doi: 10.1016/J.MEE.2009.02.012.

  • [101]  L. Filipovic, “Modeling and simulation of atomic layer deposition,” in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2019, pp. 323–326. doi: 10.1109/SISPAD.2019.8870462.

  • [102]  G. Memos, E. Lidorikis, E. Gogolides, and G. Kokkoris, “A hybrid modeling framework for the investigation of surface roughening of polymers during oxygen plasma etching,” Journal of Physics D: Applied Physics, vol. 54, p. 175205, 2021. doi: 10.1088/1361-6463/ABDB0B.

  • [103]  M. C. Schwille, J. Barth, T. Schössler, F. Schön, J. W. Bartha, and M. Oettel, “Simulation approach of atomic layer deposition in large 3D structures,” Modelling and Simulation in Materials Science and Engineering, vol. 25, p. 035008, 2017. doi: 10.1088/1361-651X/AA5F9D.

  • [104]  J. Koike, M. Hosseini, H. T. Hai, D. Ando, and Y. Sutou, “Material innovation for MOL, BEOL, and 3D integration,” in Proceedings of the International Electron Devices Meeting (IEDM), 2018, pp. 32.3.1–32.3.4. doi: 10.1109/IEDM.2017.8268485.

  • [105]  A. Goda, “Recent progress on 3D NAND Flash technologies,” Electronics, vol. 10, p. 3156, 2021. doi: 10.3390/ELECTRONICS10243156.

  • [106]  G. Kokkoris, A. G. Boudouvis, and E. Gogolides, “Integrated framework for the flux calculation of neutral species inside trenches and holes during plasma etching,” Journal of Vacuum Science & Technology A, vol. 24, p. 2008, 2006. doi: 10.1116/1.2345643.

  • [107]  J. R. Howell, M. P. Mengüç, and R. Siegel, Thermal Radiation Heat Transfer. CRC Press, 2020. doi: 10.1201/9780429327308.

  • [108]  P. Manstetten, L. Filipovic, A. Hössinger, J. Weinbub, and S. Selberherr, “Framework to model neutral particle flux in convex high aspect ratio structures using one-dimensional radiosity,” Solid-State Electronics, vol. 128, pp. 141–147, 2017. doi: 10.1016/j.sse.2016.10.029.

  • [109]  M. Ylilammi, O. M. Ylivaara, and R. L. Puurunen, “Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures,” Journal of Applied Physics, vol. 123, 2018. doi: 10.1063/1.5028178.

  • [110]  V. Cremers, R. L. Puurunen, and J. Dendooven, “Conformality in atomic layer deposition: Current status overview of analysis and modelling,” Applied Physics Reviews, vol. 6, p. 021302, 6 2019. doi: 10.1063/1.5060967.

  • [111]  A. Yanguas-Gil, J. A. Libera, and J. W. Elam, “Reactor scale simulations of ALD and ALE: Ideal and non-ideal self-limited processes in a cylindrical and a 300 mm wafer cross-flow reactor,” Journal of Vacuum Science & Technology A, vol. 39, p. 062404, 2021. doi: 10.1116/6.0001212.

  • [112]  W. Steckelmacher, “Knudsen flow 75 years on: The current state of the art for flow of rarefied gases in tubes and systems,” Reports on Progress in Physics, vol. 49, p. 1083, 1986. doi: 10.1088/0034-4885/49/10/001.

  • [113]  M. v. Smoluchowski, “Zur kinetischen Theorie der Transpiration und Diffusion verdünnter Gase,” Annalen der Physik, vol. 338, pp. 1559–1570, 1 1910. doi: 10.1002/ANDP.19103381623.

  • [114]  P. Clausing, “Über die Strömung sehr verdünnter Gase durch Röhren von beliebiger Länge,” Annalen der Physik, vol. 404, pp. 961–989, 1 1932. doi: 10.1002/ANDP.19324040804.

  • [115]  P. Clausing, “The flow of highly rarefied gases through tubes of arbitrary length,” Journal of Vacuum Science and Technology, vol. 8, pp. 636–646, 1971. doi: 10.1116/1.1316379.

  • [116]  W. G. Pollard and R. D. Present, “On gaseous self-diffusion in long capillary tubes,” Physical Review, vol. 73, pp. 762–774, 4 1948. doi: 10.1103/PhysRev.73.762.

  • [117]  R. D. Present, Kinetic Theory of Gases. McGraw-Hill, 1958.

  • [118]  L. F. Aguinsky, P. Manstetten, A. Hössinger, S. Selberherr, and J. Weinbub, “A mathematical extension to Knudsen diffusion including direct flux and accurate geometric description,” in Book of Abstracts of the International Workshop on Computational Nanotechnology (IWCN), 2019, pp. 109–110.

  • [119]  L. F. Aguinsky, P. Manstetten, A. Hössinger, S. Selberherr, and J. Weinbub, “An extended Knudsen diffusion model for aspect ratio dependent atomic layer etching,” in Book of Abstracts of the International Conference on Atomic Layer Deposition (ALD) Featuring the International Workshop on Atomic Layer Etching (ALE), 2019, p. 109.

  • [120]  S. Chapman and T. G. Cowling, The Mathematical Theory of Non-Uniform Gases, 3rd ed. Cambridge University Press, 1990.

  • [121]  H. C. Hottel and J. Keller, “Effect of reradiation on heat transmission in furnaces and through openings,” Transactions of the ASME, vol. 55, pp. 39–49, 1933.

  • [122]  C. Buraczewski and J. Stasiek, “Application of generalized pythagoras theorem to calculation of configuration factors between surfaces of channels of revolution,” International Journal of Heat and Fluid Flow, vol. 4, no. 3, pp. 157–160, 1983. doi: 10.1016/0142-727X(83)90061-9.

  • [123]  G. B. Raupp and T. S. Cale, “Step coverage prediction in low-pressure chemical vapor deposition,” Chemistry of Materials, vol. 1, no. 2, pp. 207–214, 1989.

  • [124]  A. Yanguas-Gil and J. W. Elam, “Self-limited reaction-diffusion in nanostructured substrates: Surface coverage dynamics and analytic approximations to ALD saturation times,” Chemical Vapor Deposition, vol. 18, pp. 46–52, 2012. doi: 10.1002/CVDE.201106938.

  • [125]  V. Cremers, F. Geenen, C. Detavernier, and J. Dendooven, “Monte Carlo simulations of atomic layer deposition on 3D large surface area structures: Required precursor exposure for pillar- versus hole-type structures,” Journal of Vacuum Science & Technology A, vol. 35, p. 01B115, 2016. doi: 10.1116/1.4968201.

  • [126]  W. R. Inc., “Mathematica, Version 12.0,” Champaign, IL, 2022. [Online]. Available: https://www.wolfram.com/mathematica

  • [127]  C. Bosanquet, “The optimum pressure for a diffusion separation plant,” British TA, Tech. Rep. BR-507, 1944.

  • [128]  A. Feingold, “A new look at radiation configuration factors between disks,” Journal of Heat Transfer, vol. 100, no. 4, pp. 742–744, 1978. doi: 10.1115/1.3450893.

  • [129]  T. Lill, Atomic Layer Processing. John Wiley & Sons, 2021. doi: 10.1002/9783527824199.

  • [130]  J. W. Coburn and H. F. Winters, “Ion- and electron-assisted gas-surface chemistry—An important effect in plasma etching,” Journal of Applied Physics, vol. 50, p. 3189, 1979. doi: 10.1063/1.326355.

  • [131]  V. M. Donnelly and A. Kornblit, “Plasma etching: Yesterday, today, and tomorrow,” Journal of Vacuum Science & Technology A, vol. 31, p. 050825, 2013. doi: 10.1116/1.4819316.

  • [132]  F. Rodrigues, L. F. Aguinsky, A. Toifl, A. Scharinger, A. Hössinger, and J. Weinbub, “Surface reaction and topography modeling of fluorocarbon plasma etching,” in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2021, pp. 229–232. doi: 10.1109/SISPAD54002.2021.9592583.

  • [133]  C. G. Lee, K. J. Kanarik, and R. A. Gottscho, “The grand challenges of plasma etching: a manufacturing perspective,” Journal of Physics D: Applied Physics, vol. 47, p. 273001, 2014. doi: 10.1088/0022-3727/47/27/273001.

  • [134]  K. Ishikawa et al., “Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?” Japanese Journal of Applied Physics, vol. 57, p. 06JA01, 2018. doi: 10.7567/JJAP.57.06JA01/XML.

  • [135]  H. Nagasawa, M. Abe, K. Yagi, T. Kawahara, and N. Hatta, “Fabrication of high performance 3C-SiC vertical MOSFETs by reducing planar defects,” Physica Status Solidi (B), vol. 245, no. 7, pp. 1272–1280, 2008. doi: 10.1002/pssb.200844053.

  • [136]  T. Kimoto and J. A. Cooper, Fundamentals of Silicon Carbide Technology. John Wiley & Sons, 2014. doi: 10.1002/9781118313534.

  • [137]  F. Iacopi et al., “Orientation-dependent stress relaxation in hetero-epitaxial 3C-SiC films,” Applied Physics Letters, vol. 102, no. 1, p. 011908, 2013. doi: 10.1063/1.4774087.

  • [138]  T. Kreiliger et al., “3C-SiC epitaxy on deeply patterned Si(111) substrates,” Materials Science Forum, vol. 858, pp. 151–154, 5 2016. doi: 10.4028/www.scientific.net/MSF.858.151.

  • [139]  M. Masullo et al., “Growth and coalescence of 3C-SiC on Si(111) micro-pillars by a phase-field approach,” Materials, vol. 12, p. 3223, 10 2019. doi: 10.3390/ma12193223.

  • [140]  S. Morarka, N. G. Rudawski, and M. E. Law, “Level set modeling of the orientation dependence of solid phase epitaxial regrowth,” Journal of Vacuum Science & Technology B, vol. 26, p. 357, 2008. doi: 10.1116/1.2823063.

  • [141]  A. Toifl et al., “The level-set method for multi-material wet etching and non-planar selective epitaxy,” IEEE Access, vol. 8, pp. 115 406–115 422, 2020. doi: 10.1109/ACCESS.2020.3004136.

  • [142]  S. M. George, “Atomic layer deposition: An overview,” Chemical Reviews, vol. 110, pp. 111–131, 2010. doi: 10.1021/cr900056b.

  • [143]  A. Fischer, A. Routzahn, S. M. George, and T. Lill, “Thermal atomic layer etching: A review,” Journal of Vacuum Science & Technology A, vol. 39, p. 030801, 2021. doi: 10.1116/6.0000894.

  • [144]  L. F. Aguinsky, F. Rodrigues, X. Klemenschits, L. Filipovic, A. Hössinger, and J. Weinbub, “Modeling non-ideal conformality during atomic layer deposition in high aspect ratio structures,” in Book of Abstracts of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2022, pp. 40–41.

  • [145]  L. F. Aguinsky et al., “Modeling non-ideal conformality during atomic layer deposition in high aspect ratio structures,” 2022. [Online]. Available: https://arxiv.org/abs/2210.00749

  • [146]  R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process,” Journal of Applied Physics, vol. 97, p. 121301, 2005. doi: 10.1063/1.1940727.

  • [147]  H. C. M. Knoops, E. Langereis, M. C. M. van de Sanden, and W. M. M. Kessels, “Conformality of plasma-assisted ALD: Physical processes and modeling,” Journal of The Electrochemical Society, vol. 157, p. G241, 2010. doi: 10.1149/1.3491381/XML.

  • [148]  S. Jakschik, U. Schroeder, T. Hecht, G. Dollinger, A. Bergmaier, and J. W. Bartha, “Physical properties of ALD-Al2O3 in a DRAM-capacitor equivalent structure comparing interfaces and oxygen precursors,” Materials Science and Engineering: B, vol. 107, pp. 251–254, 2004. doi: 10.1016/J.MSEB.2003.09.044.

  • [149]  A. Fischer, A. Routzahn, R. J. Gasvoda, J. Sims, and T. Lill, “Control of etch profiles in high aspect ratio holes via precise reactant dosing in thermal atomic layer etching,” Journal of Vacuum Science & Technology A, vol. 40, p. 022603, 2022. doi: 10.1116/6.0001691.

  • [150]  F. Zaera, “The surface chemistry of atomic layer depositions of solid thin films,” Journal of Physical Chemistry Letters, vol. 3, pp. 1301–1309, 2012. doi: 10.1021/jz300125f.

  • [151]  Y. Lee and S. M. George, “Atomic layer etching of Al2O3 using sequential, self-limiting thermal reactions with Sn(acac)2 and hydrogen fluoride,” ACS Nano, vol. 9, pp. 2061–2070, 2015. doi: 10.1021/NN507277F.

  • [152]  M. Bonvalot et al., “Area selective deposition using alternate deposition and etch super-cycle strategies,” Dalton Transactions, vol. 51, pp. 442–450, 2022. doi: 10.1039/D1DT03456A.

  • [153]  T. Weckman, M. Shirazi, S. D. Elliott, and K. Laasonen, “Kinetic Monte Carlo study of the atomic layer deposition of zinc oxide,” Journal of Physical Chemistry C, vol. 122, pp. 27 044–27 058, 2018. doi: 10.1021/acs.jpcc.8b06909.

  • [154]  R. Mullins, S. K. Natarajan, S. D. Elliott, and M. Nolan, “Self-limiting temperature window for thermal atomic layer etching of HfO2 and ZrO2 based on the atomic-scale mechanism,” Chemistry of Materials, vol. 32, pp. 3414–3426, 2020. doi: 0.1021/acs.chemmater.9b05021.

  • [155]  M. C. Schwille, T. Schössler, F. Schön, M. Oettel, and J. W. Bartha, “Temperature dependence of the sticking coefficients of bis-diethyl aminosilane and trimethylaluminum in atomic layer deposition,” Journal of Vacuum Science & Technology A, vol. 35, p. 01B119, 2016. doi: 10.1116/1.4971197.

  • [156]  E. Anderson et al., LAPACK Users’ Guide, 3rd ed. Philadelphia, PA: Society for Industrial and Applied Mathematics, 1999. ISBN 0-89871-447-8

  • [157]  OpenBLAS. [Online]. Available: http://www.openblas.net/

  • [158]  X. Klemenschits, O. Ertl, P. Manstetten, J. Weinbub, and L. Filipovic. ViennaLS - A High Performance Sparse Level Set Library. [Online]. Available: https://github.com/ViennaTools/ViennaLS

  • [159]  B. A. Sperling, B. Kalanyan, and J. E. Maslar, “Atomic layer deposition of Al2O3 using trimethylaluminum and H2O: The kinetics of the H2O half-cycle,” Journal of Physical Chemistry C, vol. 124, pp. 3410–3420, 2020. doi: 10.1021/ACS.JPCC.9B11291.

  • [160]  F. Gao, S. Arpiainen, and R. L. Puurunen, “Microscopic silicon-based lateral high-aspect-ratio structures for thin film conformality analysis,” Journal of Vacuum Science & Technology A, vol. 33, p. 010601, 2015. doi: 10.1116/1.4903941.

  • [161]  K. Arts et al., “Sticking probabilities of H2O and Al(CH3)3 during atomic layer deposition of Al2O3 extracted from their impact on film conformality,” Journal of Vacuum Science & Technology A, vol. 37, p. 030908, 2019. doi: 10.1116/1.5093620.

  • [162]  J. Yim et al., “Saturation profile based conformality analysis for atomic layer deposition: Aluminum oxide in lateral high-aspect-ratio channels,” Physical Chemistry Chemical Physics, vol. 22, pp. 23 107–23 120, 2020. doi: 10.1039/D0CP03358H.

  • [163]  S. Seo, T. Nam, H. B. R. Lee, H. Kim, and B. Shong, “Molecular oxidation of surface –CH3 during atomic layer deposition of Al2O3 with H2O, H2O2, and O3: A theoretical study,” Applied Surface Science, vol. 457, pp. 376–380, 2018. doi: 10.1016/J.APSUSC.2018.06.160.

  • [164]  V. Miikkulainen, M. Leskelä, M. Ritala, and R. L. Puurunen, “Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends,” Journal of Applied Physics, vol. 113, p. 021301, 2013. doi: 10.1063/1.4757907.

  • [165]  J. Yim, E. Verkama, J. A. Velasco, K. Arts, and R. L. Puurunen, “Conformality of atomic layer deposition in microchannels: Impact of process parameters on the simulated thickness profile,” Physical Chemistry Chemical Physics, vol. 24, pp. 8645–8660, 2022. doi: 10.1039/D1CP04758B.

  • [166]  Y. Chen, “ReRAM: History, status, and future,” IEEE Transactions on Electron Devices, vol. 67, pp. 1420–1433, 4 2020. doi: 10.1109/TED.2019.2961505.

  • [167]  R. G. Gordon, D. Hausmann, E. Kim, and J. Shepard, “A kinetic model for step coverage by atomic layer deposition in narrow holes or trenches,” Chemical Vapor Deposition, vol. 9, pp. 73–78, 2003. doi: 10.1002/CVDE.200390005.

  • [168]  G. Wachter et al., “Silicon microcavity arrays with open access and a finesse of half a million,” Light: Science & Applications, vol. 8, p. 37, 2019. doi: 10.1038/s41377-019-0145-y.

  • [169]  R. van Erp, R. Soleimanzadeh, L. Nela, G. Kampitsis, and E. Matioli, “Co-designing electronics with microfluidics for more sustainable cooling,” Nature, vol. 585, pp. 211–216, 2020. doi: 10.1038/s41586-020-2666-1.

  • [170]  M. Rondé, A. J. Walton, and J. G. Terry, “Test structure for measuring the selectivity in XeF2 and HF vapour etch processes,” IEEE Transactions on Semiconductor Manufacturing, vol. 34, pp. 241–247, 2021. doi: 10.1109/TSM.2021.3063633.

  • [171]  P. Panduranga, A. Abdou, Z. Ren, R. H. Pedersen, and M. P. Nezhad, “Isotropic silicon etch characteristics in a purely inductively coupled SF6 plasma,” Journal of Vacuum Science & Technology B, vol. 37, p. 061206, 2019. doi: 10.1116/1.5116021.

  • [172]  D. C. Hays et al., “Comparison of F2-based gases for high-rate dry etching of si,” Journal of The Electrochemical Society, vol. 146, pp. 3812–3816, 1999. doi: 10.1149/1.1392556/XML.

  • [173]  L. F. Aguinsky et al., “Feature-scale modeling of low-bias SF6 plasma etching of Si,” in Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), B. Cretu, Ed. IEEE, 2021, pp. 1–4. doi: 10.1109/EuroSOI-ULIS53016.2021.9560685.

  • [174]  L. F. Aguinsky et al., “Modeling and analysis of sulfur hexafluoride plasma etching for silicon microcavity resonators,” Journal of Micromechanics and Microengineering, vol. 31, no. 12, pp. 125 003–1–125 003–9, 2021. doi: 10.1088/1361-6439/ac2bad.

  • [175]  C. L. Chernick et al., “Fluorine compounds of Xenon and Radon,” Science, vol. 138, pp. 136–138, 10 1962. doi: 10.1126/SCIENCE.138.3537.136.

  • [176]  L. Arana, N. de Mas, R. Schmidt, A. Franz, M. Schmidt, and K. Jensen, “Isotropic etching of silicon in fluorine gas for MEMS micromachining,” Journal of Micromechanics and Microengineering, vol. 17, pp. 384–392, 2 2007. doi: 10.1088/0960-1317/17/2/026.

  • [177]  M. J. Madou, Manufacturing Techniques for Microfabrication and Nanotechnology. CRC Press, 2011, vol. 2. ISBN 9781420055191

  • [178]  P. Arora, T. Nguyen, A. Chawla, S.-K. Nam, and V. M. Donnelly, “Role of sulfur in catalyzing fluorine atom fast etching of silicon with smooth surface morphology,” Journal of Vacuum Science & Technology A, vol. 37, p. 061303, 11 2019. doi: 10.1116/1.5125266.

  • [179]  K. P. Larsen, D. H. Petersen, and O. Hansen, “Study of the roughness in a photoresist masked, isotropic, SF6-based ICP silicon etch,” Journal of The Electrochemical Society, vol. 153, p. G1051, 2006. doi: 10.1149/1.2357723/XML.

  • [180]  G. W. Biedermann et al., “Ultrasmooth microfabricated mirrors for quantum information,” Applied Physics Letters, vol. 97, p. 181110, 2010. doi: 10.1063/1.3511743.

  • [181]  M. Rudenko, V. Kuzmenko, A. Miakonkikh, and V. Lukichev, “On temperature and flux dependence of isotropic silicon etching in inductively coupled SF6 plasma,” Vacuum, vol. 204, p. 111326, 2022. doi: 10.1016/J.VACUUM.2022.111326.

  • [182]  R. J. Belen, S. Gomez, M. Kiehlbauch, D. Cooperberg, and E. S. Aydil, “Feature-scale model of Si etching in SF6 plasma and comparison with experiments,” Journal of Vacuum Science & Technology A, vol. 23, pp. 99–113, 2005. doi: 10.1116/1.1830495.

  • [183]  E. J. C. Tinacba, T. Ito, K. Karahashi, M. Isobe, and S. Hamaguchi, “Molecular dynamics simulation for reactive ion etching of Si and SiO2 by SF5+ ions,” Journal of Vacuum Science & Technology B, vol. 39, no. 4, p. 043203, 2021. doi: 10.1116/6.0001230.

  • [184]  M. A. Gosalvez, Y. Zhou, Y. Zhang, G. Zhang, Y. Li, and Y. Xing, “Simulation of microloading and ARDE in DRIE,” in Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), 2015, pp. 1255–1258. doi: 10.1109/TRANSDUCERS.2015.7181158.

  • [185]  K. R. Williams and R. S. Muller, “Etch rates for micromachining processing,” Journal of Microelectromechanical Systems, vol. 5, pp. 256–269, 1996. doi: 10.1109/84.546406.

  • [186]  K. R. Williams, K. Gupta, and M. Wasilik, “Etch rates for micromachining processing - Part II,” Journal of Microelectromechanical Systems, vol. 12, pp. 761–778, 2003. doi: 10.1109/JMEMS.2003.820936.

  • [187]  D. A. Steck, “Classical and Modern Optics,” Available online: http://steck.us/teaching (revision 1.8.3, 25 July 2021).

  • [188]  J. Fait et al., “High finesse microcavities in the optical telecom O-band,” Applied Physics Letters, vol. 119, p. 221112, 2021. doi: 10.1063/5.0066620.

  • [189]  K. J. Vahala, “Optical microcavities,” Nature, vol. 424, pp. 839–846, 2003. doi: 10.1038/nature01939.

  • [190]  Z. Moktadir et al., “Etching techniques for realizing optical micro-cavity atom traps on silicon,” Journal of Micromechanics and Microengineering, vol. 14, pp. 82–85, 2004. doi: 10.1088/0960-1317/14/9/014.

  • [191]  M. Trupke et al., “Microfabricated high-finesse optical cavity with open access and small volume,” Applied Physics Letters, vol. 87, pp. 1–3, 2005. doi: 10.1063/1.2132066.

  • [192]  T. Kessler et al., “A sub-40-mHz-linewidth laser based on a silicon single-crystal optical cavity,” Nature Photonics, vol. 6, pp. 687–692, 2012. doi: 10.1038/nphoton.2012.217.

  • [193]  W. Loh, S. Yegnanarayanan, F. O’Donnell, and P. W. Juodawlkis, “Ultra-narrow linewidth Brillouin laser with nanokelvin temperature self-referencing,” Optica, vol. 6, p. 152, 2 2019. doi: 10.1364/optica.6.000152.

  • [194]  B. Bernhardt et al., “Cavity-enhanced dual-comb spectroscopy,” Nature Photonics, vol. 4, pp. 55–57, 2010. doi: 10.1038/nphoton.2009.217.

  • [195]  N. Picqué and T. W. Hänsch, “Frequency comb spectroscopy,” Nature Photonics, vol. 13, pp. 146–157, 2019. doi: 10.1038/s41566-018-0347-5.

  • [196]  P. Samutpraphoot, P. L. Ocola, H. Bernien, C. Senko, V. Vuletić, and M. D. Lukin, “Strong coupling of two individually controlled atoms via a nanophotonic cavity,” Physical Review Letters, vol. 124, p. 063602, 2020. doi: 10.1103/PhysRevLett.124.063602.

  • [197]  S. Haroche, M. Brune, and J. M. Raimond, “From cavity to circuit quantum electrodynamics,” Nature Physics, vol. 16, pp. 243–246, 2020. doi: 10.1038/s41567-020-0812-1.

  • [198]  D. Nečas and P. Klapetek, “Gwyddion: An open-source software for SPM data analysis,” Central European Journal of Physics, vol. 10, pp. 181–188, 2 2012. doi: 10.2478/s11534-011-0096-2. . [Online]. Available: http://gwyddion.net/

  • [199]  W. Schroeder, K. Martin, and B. Lorensen, The Visualization Toolkit, 4th ed. Kitware, 2006. ISBN 9781930934191

  • [200]  H. Yuen, J. Princen, J. Illingworth, and J. Kittler, “Comparative study of Hough transform methods for circle finding,” Image and Vision Computing, vol. 8, pp. 71–77, 1990. doi: 10.1016/0262-8856(90)90059-E.

  • [201]  OpenCV. [Online]. Available: https://opencv.org/

  • [202]  P. Virtanen et al., “SciPy 1.0: Fundamental algorithms for scientific computing in Python,” Nature Methods, vol. 17, pp. 261–272, 2020. doi: 10.1038/s41592-019-0686-2.

  • [203]  C. Tsallis and D. A. Stariolo, “Generalized simulated annealing,” Physica A: Statistical Mechanics and its Applications, vol. 233, pp. 395–406, 1996. doi: 10.1016/S0378-4371(96)00271-3.

  • [204]  D. L. Flamm, D. N. K. Wang, and D. Maydan, “Multiple-etchant loading effect and silicon etching in ClF3 and related mixtures,” Journal of The Electrochemical Society, vol. 129, pp. 2755–2760, 1982. doi: 10.1149/1.2123673/XML.

  • [205]  E. Cabruja and M. Schreiner, “Deep trenches in silicon using photoresist as a mask,” Sensors and Actuators A: Physical, vol. 37-38, pp. 766–771, 1993. doi: 10.1016/0924-4247(93)80129-5.

  • [206]  C. Waits, B. Morgan, M. Kastantin, and R. Ghodssi, “Microfabrication of 3D silicon MEMS structures using gray-scale lithography and deep reactive ion etching,” Sensors and Actuators A: Physical, vol. 119, pp. 245–253, 2005. doi: 10.1016/j.sna.2004.03.024.

  • [207]  D. Kleckner, W. T. Irvine, S. S. Oemrawsingh, and D. Bouwmeester, “Diffraction-limited high-finesse optical cavities,” Physical Review A, vol. 81, p. 043814, 2010. doi: 10.1103/PhysRevA.81.043814.

  • [208]  S. Wolf and R. Tauber, Silicon Processing for the VLSI Era, 2nd ed. Lattice Press, 2000, vol. 1. ISBN 978-0961672164

List of Own Publications

Journal Articles

  • [1] L. F. Aguinsky, F. Rodrigues, T. Reiter, X. Klemenschits, L. Filipovic, A. Hössinger, J. Weinbub, "Modeling non-ideal conformality during atomic layer deposition in high aspect ratio structures", submitted to Solid-State Electronics, under review, 2022. arXiv: 2210.00749

  • [2] L. F. Aguinsky, F. Rodrigues, G. Wachter, M. Trupke, U. Schmid, A. Hössinger, J. Weinbub, "Phenomenological modeling of sulfur hexafluoride plasma etching of silicon", Solid-State Electronics, vol. 191, (invited), 108262-1–108262-8, 2022, doi: 10.1016/j.sse.2022.108262.

  • [3] L. F. Aguinsky, G. Wachter, P. Manstetten, F. Rodrigues, M. Trupke, U. Schmid, A. Hössinger, J. Weinbub, "Modeling and analysis of sulfur hexafluoride plasma etching for silicon microcavity resonators", Journal of Micromechanics and Microengineering, vol. 31, 125003-1–125003-9, 2021, doi: 10.1088/1361-6439/ac2bad.

  • [4] A. Toifl, F. Rodrigues, L. F. Aguinsky, A. Hössinger, J. Weinbub, "Continuum level-set model for anisotropic wet etching of patterned sapphire substrates", Semiconductor Science and Technology, vol. 36, 045016-1 – 045016-12, 2021, doi: 10.1088/1361-6641/abe49b.

Book Contributions

  • [5] L. F. Aguinsky, G. Wachter, F. Rodrigues, A. Scharinger, A. Toifl, M. Trupke, U. Schmid, A. Hössinger, J. Weinbub, "Feature-scale modeling of low-bias SF6 plasma etching of Si", in Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS). IEEE, pp. 1–4, 2021, doi: 10.1109/EuroSOI-ULIS53016.2021.9560685.

  • [6] F. Rodrigues, L. F. Aguinsky, A. Toifl, A. Scharinger, A. Hössinger, J. Weinbub, "Surface reaction and topography modeling of fluorocarbon plasma etching", in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, pp. 229–232, 2021, doi:
    10.1109/SISPAD54002.2021.9592583.

Conference Contributions

  • [7] L. F. Aguinsky, "Advanced flux models in Victory Process: Low-bias SF6 etching and thermal atomic layer processing", in Silvaco Users Global Event (SURGE), (invited), 2022.

  • [8] L. F. Aguinsky, G. Wachter, A. Scharinger, F. Rodrigues, A. Toifl, M. Trupke, U. Schmid, A. Hössinger, J. Weinbub, "Feature-scale modeling of isotropic SF6 plasma etching of Si", in Book of Abstracts of the Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), pp. 54–55, 2021.

  • [9] F. Rodrigues, L. F. Aguinsky, A. Toifl, A. Hössinger, J. Weinbub, "Feature scale modeling of fluorocarbon plasma etching for via structures including faceting phenomena", in Book of Abstracts of the International Workshop on Computational Nanotechnology (IWCN), pp. 101–102, 2021.

  • [10] L. F. Aguinsky, P. Manstetten, A. Hössinger, S. Selberherr, J. Weinbub, "An extended Knudsen diffusion model for aspect ratio dependent atomic layer etching", in Book of Abstracts of the International Conference on Atomic Layer Deposition (ALD) Featuring the International Workshop on Atomic Layer Etching (ALE), p. 109, 2019.

  • [11] L. F. Aguinsky, P. Manstetten, A. Hössinger, S. Selberherr, J. Weinbub, "Three-dimensional TCAD for atomic layer processing", in Book of Abstracts of the Workshop on High Performance TCAD (WHPTCAD), p. 5, 2019.

  • [12] P. Manstetten, L. F. Aguinsky, S. Selberherr, J. Weinbub, "High-performance ray tracing for nonimaging applications", in Book of Abstracts of the Workshop on High Performance TCAD (WHPTCAD), p. 20, 2019.

  • [13] P. Manstetten, G. Diamantopoulos, L. Gnam, L. F. Aguinsky, M. Quell, A. Toifl, A. Scharinger, A. Hössinger, M. Ballicchia, M. Nedjalkov, J. Weinbub, "High performance TCAD: From simulating fabrication processes to Wigner quantum transport", in Book of Abstracts of the Workshop on High Performance TCAD (WHPTCAD), p. 13, 2019.

  • [14] L. F. Aguinsky, P. Manstetten, A. Hössinger, S. Selberherr, J. Weinbub, "A mathematical extension to Knudsen diffusion including direct flux and accurate geometric description", in Book of Abstracts of the International Workshop on Computational Nanotechnology (IWCN), pp. 109-110, 2019.

  • [15] G. Diamantopoulos, P. Manstetten, L. Gnam, V. Simonka, L. F. Aguinsky, M. Quell, A. Toifl, A. Hössinger, J. Weinbub, "Recent advances in high performance process TCAD", in Book of Abstracts of the SIAM Conference on Computational Science and Engineering (CSE), p. 335.

Curriculum Vitae

Education

03/2018 – present

Doctoral candidate in Electrical Engineering
Institute for Microelectronics, TU Wien
Vienna, Austria

10/2014 – 01/2018

Master’s degree in Simulation Sciences
Thesis titled "Modeling Radiative Heat Transfer in Solar Thermochemical Particle Receivers"
RWTH Aachen University and Deutsches Zentrum für Luft- und Raumfahrt (DLR)
Aachen and Cologne, Germany

01/2012–06/2012

Exchange student
University of Montreal
Montreal, Canada

03/2009 – 08/2013

Bachelor’s degree in Physics
Thesis titled "Capillary Electrophoresis in Ultra-High Electric Fields"
Federal University of Rio Grande do Sul (UFRGS)
Porto Alegre, RS, Brazil

Research Projects

2022

FWF Erwin Schrödinger Postdoctoral Fellowship
"Exploring Novel Materials for Next-Generation Optically Stimulated Memristors"
Integrated Systems Laboratory, ETH Zurich
Zurich, Switzerland

Awards and Scholarships

2021

Solid-State Electronics Best Poster Award
EUROSOI-ULIS 2021 Conference

2012

Science without Borders CNPq Scholarship
University of Montreal, Canada.

Teaching

2021

Bachelor Thesis Supervisor
Thesis titled "Rasterization for Flux Calculations in Topography Simulations"
TU Wien

2019–2022

Co-lecturer
Numerical Simulation and Scientific Computing I
TU Wien

2011

Teaching Assistant
Computational Methods of Physics B
UFRGS